HI,欢迎来到学术之家,发表咨询:400-888-7501  订阅咨询:400-888-7502  股权代码  102064
0
首页 精品范文 集成电路布图设计

集成电路布图设计

时间:2022-03-29 05:11:42

集成电路布图设计

集成电路布图设计范文1

【关键词】集成电路布图设计知识产权

引言:随着集成电路制造工艺的迅猛发展,集成电路规模已发展到超大规模。由此带来的利益促使一些厂商通过各种方式获取他人技术,利用他人的技术成果牟取非法利益。因此,保护集成电路布图设计成为有关各界关注的问题。我国一直采取积极的态度对待集成电路知识产权保护问题,在一九五月通过的世界知识产权组织《关于集成电路的知识产权条约》文本上签字,并于2001年制定了《集成电路布图设计保护条例》。这一条例初步建立了我国集成电路布图设计的知识产权保护的理论体系,进一步完善了我国的知识产权法律制度。

一、集成电路布图设计的知识产权的特点

布图设计作为人类智力劳动的成果,具有知识产权客体的许多共性特征,应当成为知识产权法保护的对象,其特点主要表现在以下方面:

(一)无形性。

集成电路布图设计是指集成电路中各种元件的连接与排列,它本身是设计人员智慧的体现,是无形的。只有当这种设计固化到磁介质或掩膜上,才具有客观的表现形式,能够被人们感知、复制,从而得到法律的保护。

(二)创造性

集成电路布图设计具有创造性,是设计人自己创作的,有自己的独特之处。当今,要使每次的集成电路布图设计都达到显著的进步是不可能的,新的集成电路产品仅表现为集成度的提高。所以,已颁布集成电路保护法的国家,均不直接采纳专利法中的创造性和新颖性的标准,而是降低要求,以适应实际情况。

(三)可复制性

集成电路布图设计具有可复制性。对于集成电路成品,复制者只需打开芯片的外壳,利用高分辨率照相机,拍下顶层金属联接,再腐蚀掉这层金属,拍下下面那层半导体材料,即可获得该层的掩膜图。

由以上特点可以看出,布图设计是独立的知识产权客体,有着自己的特点。布图设计的无形性是知识产权客体的共性,创造性是专利权客体的特性,可复制性是著作权客体的一个必要特征,因此,传统的知识产权法律保护体系难以对布图设计进行保护。因而,很多国家基本上不引用著作权法或专利法来保护它,而是依据其特点,单独制订法规,将之作为独立的客体予以保护。

二、集成电路布图设计知识产权与其他知识产权的区别

1、与版权的区别

集成电路的布图设计,是一系列电子元件的立体布局,由一系列电子元件及连结这些元件的导线构成,既不是由语言文字,也不是由任何图形符号构成。而版权只对作品提供保护。作品是由语言、文字、图形或符号构成的,表现一种思想的智力成果。不论对各国立法及有关版权条约中的作品做多么广泛的解释,均不包括集成电路的这种封装在密封材料中,无法用肉眼分辨的立体布图设计。

2、与专利的区别

集成电路的布图设计是产品的中间形态,不具有独立的产品功能,复杂的布图设计,受保护的范围难以用文字描述的方式在权利要求书中说明。而专利是一种关于产品或方法或其改进的新的技术方案,对发明要求具有新颖性、创造性和实用性,并且专利权的范围以权利要求书的内容为准。因此,对于布图设计来说,一般难以受到专利法保护。目前大多数国家对专利实行实质审查。由于集成电路的技术复杂性,对于布图设计的新颖性、创造性和实用性的审查,将极为困难,使得实质审查很难进行。

综上所述,集成电路布图设计知识产权与传统的知识产权相比,有其特殊性,传统的知识产权法无法为集成电路提供充分有效的保护。但是集成电路的广泛应用又急需法律来提供保护,因此,必须突破现有知识产权法的界限,以专门立法来保护集成电路,于是产生了集成电路法。

三、国际上几个主要的集成电路知识产权立法

1、美国《半导体芯片法》

美国1984年的《半导体芯片法》内容详尽,包括:定义、保护的对象、所有权及其转让与许可、保护期限、掩膜作品的专有权、专有权的限制、申请登记、专有权的实施、民事诉讼、与其他法律的关系、过渡条款及国际过渡条款等。

2、日本《集成电路的电路布局法》

日本《电路布局法》共六章五十六条,并一个附则。由于日本是世界上第二个制定集成电路保护之专门立法的国家,当时,除了美国的《半导体芯片法》之外,并无任何国家的相关立法可供借鉴,因而其立法深受美国法的影响,在主要内容上与美国的《半导体芯片法》大致相似。

3、欧洲共同体《理事会指令》

在美日相继通过专门立法保护集成电路布图设计以后,一方面出于保护布图设计的需要,另一方面也迫于美国的压力,欧共体于1986年12月16日通过了《关于半导体产品布图设计法律保护的理事会指令》(87/54/EEC)(以下简称共同体指令)。该指令共4章12条,对于共同体各成员国的集成电路布图设计立法有着重大影响。

4、中国《集成电路布图设计保护条例》

我国早在1991年国务院就已将《半导体集成电路布图设计保护条例》列入了立法计划,经过10年的酝酿,我国的《集成电路布图设计保护条例》于2001年3月28日由国务院第36次会议通过,并于2001年10月1日起施行。

总而言之,集成电路的迅速发展已经使集成电路布图设计保护的问题客观地摆在了我们面前,这是技术进步和社会发展的必然。本文通过对布图设计特点、与其他知识产权的区别进行分析,期望使读者能够初步的了解布图设计知识产权产生的必然性及合理性,为今后在工作中有效地利用《集成电路布图设计保护条例》保护布图设计打下基础。

参考文献

[1]郭禾著. 《试论我国集成电路的法律保护》. 《计算机与微电子发展研究》1992年第3期

集成电路布图设计范文2

点评 3

捍卫集成电路独创布图设计的专有权,保护所有者权益,集成电路设计业才能健康发展。

“钜锐案”的判决是我国集成电路知识产权保护的一个历史性的重大事件,对于集成电路布图设计侵权案的统一裁判尺度和法律适用标准以及促进集成电路技术创新和产业发展都具有重要意义,堪称经典性指导案例。

一、集成电路的布图设计是集成电路芯片核心技术的表现形式

集成电路布图设计表面上看是一种图形设计,实质上布图设计凝聚了集成电路设计思想的精华,图形是最后呈现的形式。布图设计是指实现某一电子功能,集成在某一半导体材料的基片上的集成电路全部元件与部分或全部连线的三维配置;布图设计不仅准确映射了设计者所设计电路的逻辑关系和输入输出关系,而且事关集成电路芯片的参数和指标。判定集成电路是否构成侵权,可以根据争议芯片两者的元件、元件空间布局、元件连接关系、连接线路排布与走向、元件及线路的尺寸规格等是否相同,即两集成电路全部元件与连线的三维配置是否相同。布图设计是创作者的智力劳动成果,应当予以保护。

二、集成电路的布图设计易于复制和抄袭,必须依法保护

布图设计是以某种信息状态存在的。布图设计在集成电路芯片中表现为一定的图形,在掩模版上,布图设计也是以图形方式存在的;借助于计算机辅助设计技术,布图设计以数字化代码的方式存在于各种存储介质中;在计算机控制的电子束曝光装置或离子注入机中,布图设计同样以代码的方式存在。通过相关设备,人们可以感知这些数字化代码信息。在不同的载体上,布图设计以不同的信息状态存在,同样,可以不同的方式被复制。因此,要保护设计者的知识产权,就要依法对独创的布图设计进行保护。根据《集成电路布图设计保护条例》,依法取得专有权是知识产权所有者保障权益的有效途径。集成电路设计的从业人员是最有条件接触、复制、传播布图设计的,权益所有人应与设计人员明确产权关系并签署保密协议,设计人员应自觉遵守法律法规,尊重所有者权益。

三、完善产权交易机制,发挥布图设计成果的再利用价值

集成电路布图设计的整体成果中包括了独创设计和常规设计,其中的独创设计部分可以以模块或组件的形式与其它电路进行组合形成新的集成电路,因此,集成电路的特定区域的布图设计可以多种形式善加利用。权益所有者首先应对可再利用的局部布图设计取得专有权,在不妨碍自身商业利益的前提下,通过技术转让、产权交易等方式,向需方有偿提供。布图设计不仅要保护,在合法、互惠的原则下,通过再利用可以发挥更大的价值,是所有者权益的更好的体现。

捍卫集成电路独创布图设计的专有权,保护所有者权益,集成电路设计业才能健康发展。

集成电路布图设计范文3

关键词: 电路版图设计; 电路分割设计; 厚膜混合集成电路; 厚膜工艺

中图分类号: TN710?34 文献标识码: A 文章编号: 1004?373X(2014)04?0118?03

Circuit layout design based on thick?film process

PU Ya?fang

(Shaanxi HuaJing Microelectronics Co., Ltd, Xi’an 710065, China)

Abstract: The printed circuit board (PCB) technology is applied to circuit design generally. If it is combined with thick?film process, the circuit layout design, in which the complicated connection and many devices are mounted in its limited room, can be implemented. The outstanding advantages of the thick?film hybrid circuit were demonstrated by theoretical analysis of three defferent design schemes of circuit layout design. It is the unique one which can meet the requirement of the circuit design scheme. According to the boundary dimension requirement of the circuit, the circuit performance and device encapsulation mode were considered thoroughly, and the rationality and realizability of the design scheme were validated by reasonable circuit segmenting design and layout design. The outstanding superiority of thick?film process was reflected in the circuit layout design. The difficulty that the conventional methods for circuit layout design could not overcome was solved easily .

Keywords: circuit layout design; circuit segmenting design; thick?film hybrid circuit; thick?film process

0 引 言

随着电子技术的飞速发展,对电子设备、系统的组装密度的要求越来越高,对电路功能的集成度、可靠性等都提出了更高的要求。电子产品不断地小型化、轻量化、多功能化。除了集成电路芯片的集成度越来越高外,电路结构合理的版图设计在体积小型化方面也起着举足轻重的作用。

1 厚膜工艺技术简述

厚膜工艺技术是将导电带和电阻通过丝网漏印、烧结到陶瓷基板上的一种工艺技术[1]。

厚膜混合集成电路是在厚膜工艺技术的基础上,将电阻通过激光精调后,再将贴片元器件或裸芯片装配到陶瓷基板上的混合集成电路[2]。

厚膜混合集成电路基本工艺流程图见图1。

图1 厚膜工艺流程图

厚膜工艺与印制板工艺比较见表1。

2 电路版图设计

2.1 设计要求

将电路原理图(图2,图3)平面化设计在直径为34 mm的PCB板上(对电路进行分析后无需考虑相互干扰),外形尺寸图见图4。其中:序列号及电源为需要引出的引脚。

表1 厚膜工艺与印制板工艺比较

图2 原理图(1)

图3 原理图(2)

图4 外形尺寸图

2.2 设计步骤

2.2.1 分类清点电路中的元器件数量

分类清点电路中的元器件数量见表2。

表2 元器件数量

2.2.2 确定电路设计方案

根据电路原理图,对以下3个方案逐一进行分析:

(1) 方案1:在印制板上双面布线

简单计算一下各种元器件所占面积:贴片电阻电容:4.8×46=220.8 mm2;贴片二三极管:8.9×5=44.5 mm2;

贴片集成电路:77×3+72=303 mm2;贴片运算放大器:33.44×11=367.84 mm2;电 位 器: 38×4=152 mm2;晶振:16 mm2。

元器件的总面积:220.8+44.5+303+367.84+152+16=1 104.14 mm2≈11 cm2。

印制板的可利用面积(单面):3.14×14.52=660.185 mm2≈6.6 cm2。

很显然,利用双面布局布线,印制板的面积远远满足不了设计的需要。另外,印制板为圆形,元件布局时面积的利用率更低。所以仅仅利用印制板的面积来进行平面化设计,理论上不可行。

(2) 方案2:印制板上安装双列直插式厚膜电路模块

采用厚膜工艺和印制板工艺相结合的方法进行布局布线。首先将电路原理图进行合理分割,确定要利用厚膜工艺进行设计的那部分电路,剩余部分电路则布线到印制板上。用厚膜工艺的电路,在陶瓷基板上采用双面布线,组装贴片元器件,可以增大布线的面积。然而,为了和印制板结合起来,双列直插式厚膜电路模块的引出端数目需求较多,采用最多的引出脚数量,也满足不了印制板与厚膜电路电连接的需要。

若采用裸芯片元件进行布线,则必须采用金属全密封封装。由于金属外壳的存在,导致基片的面积变得更小,模块的引出端数目随之减少。另外,裸芯片的电路只能采用单面布线,这样不能满足元件放置的需要,更不可能实现布线的需求。

所以该方案也不可行。

(3) 方案3:印制板上安装2个单列直插式厚膜电路模块

由方案1和方案2得知:

(1) 必须在印制板上安装厚膜电路模块;

(2) 采用2个单列直插式厚膜电路模块,且均采用双面布线。

2个单列直插式厚膜电路模块和1个双列直插式模块进行比较,虽然引出脚数目相等,但2个单列直插式电路比1个双列直插式电路的布线面积增大了1倍。对于圆形的印制板,将2个厚膜电路模块平行放置在直径上和与直径平行的最近位置,就可以保证厚膜电路模块和印制板之间的过渡线数目最多,且高度不会超过允许高度。经验证,这样的布局达到了厚膜电路模块和印制板上电路连接的需要,而且所有元件达到合理放置。

所以,方案3是可行的。

2.2.3 电路版图设计过程[3?4]

根据印制板外形尺寸的要求,2个单列直插式厚膜电路模块的陶瓷基片分别选用32 mm×16.5 mm×0.8 mm和30 mm×16.5 mm×0.8 mm两种,根据电路的工作原理,对2个电路原理图进行合理分割,可调元器件和大体积元件放置在印制板上,不可调部分分别放置在两个陶瓷基片上,经过合理布图,陶瓷基板上PCB图分别见图5,图6。

图5 厚膜电路1(正面和反面)

图6 厚膜电路2(正面和反面)

红色为一次导体,浅绿色为介质,深蓝色,红色为一次导体,湖蓝色为介质,为二次导体,其余颜色为厚膜电阻,紫色为二次导体,其余颜色为厚膜电阻,共有13个引出脚。共有12个引出脚。

将两个厚膜电路模块按照厚膜电路的工艺进行封装完成后,作为印制板上的两个元器件,将其与厚膜电路模块外的元件在印制板上进行布局布线设计,即可完成整个电路的版图设计,并达到了设计要求。整个产品的印制板装配图见图7。

图7中,W1~W4为电位器,X为晶振,J1和J2分别为两块单列直插式厚膜电路模块。C2为片式钽电容,U7为SO?8集成电路,R*为片电容,其余为引出脚。

图7 印制板装配图

3 结 语

在电路版图的设计过程中,充分考虑到调试的需要,将需调试的元件和体积较大的元件放置在印制板上,无需调试的小体积元件放置在厚膜电路模块里,使得仅利用印制板难以完成的布图任务因巧妙利用厚膜工艺集成而大大缩小了产品的体积,从而实现了复杂电路体积小型化的目的,而且使产品美观,调试方便。

厚膜技术从早期应用在航空航天、卫星通信等领域,发展到现在的汽车、家用电器、音响设备等工业领域,无不说明厚膜工艺技术有着很好的发展前景和实用价值。

参考文献

[1] 郑福元,周立飞,虎轩东.厚薄膜混合集成电路:设计、制造和应用[M].北京:科学出版社,1984.

[2] 吕乃康,樊百昌.厚膜混合集成电路[M].西安:西安交通大学出版社,1990.

[3] 崔玮.Protel 99 SE电路原理图与电路板设计教程[M].北京:北京海洋出版社,2007.

[4] 黄智伟.印制电路板(PCB)设计技术与实践[M].北京:中国工业出版社,2012.

集成电路布图设计范文4

关键词:ASIC;设计流程;数字集成电路

中图分类号:TN742 文献标识码:A 文章编号:1674-7712 (2012) 16-0028-02

进入21世纪以后,通信技术的发展与人民生活需求的不断增长,导致集成电路的需求出现井喷式的增长。集成电路分为专用集成电路和通用集成电路。相比通用集成电路,专用集成电路面向特定用户,品种多,批量少,需求设计和生产周期短,同时功耗更低,重量更轻,体积更小,性能更好,成本更低等优点。因此涌现出来一大批数字集成电路(简称ASIC)设计公司。其中,北京的微电子集成产业园和上海的张江微电子园集中了国内很多的芯片设计(简称IC设计)公司和国外顶尖IC设计公司驻中国研发部。而专用集成电路是现在集成电路设计的研究热点。包含有数字集成电路(简称ASIC)设计、模拟ASIC设计、数模混合ASIC设计、射频ASIC设计等类型。本论文研究集成电路中最为广泛的数字ASIC设计。ASIC设计过程总共分为5个阶段,分别为:项目策划、总体设计、详细设计与可测性设计、时序验证与版图设计、流片与整理。这5个阶段以文档的递交作为完成阶段性完成任务的分界点。本论文也将以此5个阶段为主线进行研究和讨论。

一、项目策划

在集成电路设计的第一个阶段是项目策划。这就需要开发团队在正式进入是实质性研发阶段之前,需要对该产品潜在的市场需求进行调研。根据调研的结果,做出可行性报告。将此可行性报告提交市场和研发部门进行论证,讨论该产品研发的正确性与否。如果可行,则写项目任务书,用以给出明确的产品性能的大致说明,项目进度、研发周期管理等的。

二、总体设计

第二阶段是总体设计。总体设计阶段的主要任务是:认真分析市场的需求,确定设计对象以及设计目标。在原先第一阶段给出的项目任务书的基础上,进一步充实芯片的功能确定,内外部性能的要求,芯片验收的参数指标。同时要积极组织各方面的人员论证各种实现可行的系统实现方案,选择最佳的实现方案,敲定最终的系统实现方案,以及加工工程,工艺水平。在系统实现方案完成之后,需要是使用仿真软件进行系统设计,并进行仿真,进行可行性验证。通过仿真结果,来初步估计产品的最终性能。这一阶段所做的工作,最终以系统规范化说明书为任务完成的标准。在系统规范化说明书中,主要包含有晶片面积的估计;.产品研发预算估计;初始的产品系统结构设计;风险分析;设立产品的目标、可行性和里程碑;设计路线和开发工具的选定。其中需要指出的是进行系统设计以及系统仿真的可行性分析。可行性分析是第二阶段最重要的一个环节,它是对该项目的利润模型、开发周期和风险性的分析。一方面,该ASIC开发项目的最终产品是替代目前的一个成功产品,则成本降低与功能增强是项目最突出的任务。另一方面,该ASIC开发项目旨在开辟新的市场或者替代目前尚未成功的产品,研发时间将是项目中首先关心的文图。由于项目的研发策略会对整个项目的结构设计、开发等产生巨大的影响,项目规划者需要根据项目的具体情况在正式研发阶段开始之前对项目的这些驱动因素进行归纳分析,以制定项目的研发策略。

三、详细设计与可测性设计

数字研发流程走到此,如果前面的任务全部走完,那么研发将进入实质性的开发阶段。这一个过程又拆分为如下的模块:

(一)顶层模块划分

顶层设计是一个富有创造性的阶段,在这个阶段,要定义产品的顶层架构。许多经典的工程折中问题都需要在这个阶段做出决定。产品的开销、设计的开销、产品上市时间、资源需求和风险之间的对比也是顶层结构设计过程中的一部分。这个阶段中的创造性思维对于产品的成功有着极大的影响。创造性可以体现在产品的创意、顶层架构设计创意和设计流程的创意等方面。这个阶段的工作主要由少数具有结构设计和系统设计才能的高级工程师参与。这一阶段的具体任务是:讨论几个顶层结构备选项;分析这几个顶层结构选项——需要考虑技术灵活性、资源需求及开发周期等;完成顶层结构设计说明;确定关键的模块(如果需要,这些模块可以尽早开始);确定需要使用的第三方IP模块;选择开发组成员;确定新的工具;确定开发路线/流程;讨论风险;预估硅片面积、输入输出引脚、开销和功耗等。这个阶段需要递交的文档则是这个阶段需要递交的文档:结构设计文档与ASIC开发计划文档。在结构设计文档中,设计者需要清楚地描述电路板、软件和ASIC的划分。通常ASIC作为系统中的一个重要部分,它的功能需要在顶层结构设计说明中详细的描述。ASIC开发计划:这个计划必须经过项目管理人员的验收通过。同时,还需要完成设计线路描述文档。这个文档要再次定义项目开发中所需要的工具、技术和方法。

(二)模块级详细设计

模块级详细设计,顾名思义,则是将顶层结构合理地划分成一些更小的模块。各个小设计模块间需认真细致的合理划分。划分着需要确定功能功能,模块与模块之间的联系等等。为了明了给对方展示划分结果,ASIC的层次化结构一般以图示方式表示。

本阶段的任务分别为:将顶层架构分解成更小的模块;定义模块的功能和接口;回顾上一阶段完成的初始项目开发计划和顶层结构设计文档;风险进一步分析;开发规范(代码编写风格,开发环境的目录结构);检查芯片设计规则(晶片温度,封装,引脚,供电等);还需要做的工作是重新估计芯片的门数。本阶段输出的则是各个模块的设计文档,以及准确的项目研发计划。同时,从该阶段开始,需要设计人员将ASIC的生产商必须确定下来。项目管理者必须与ASIC生产商建立例会制度,在这些例会中需要讨论ASIC的结构和设计路线。因为ASIC生产商有他们的一套生产流程和他们自己的技术特点,设计也需要遵循他们的设计规则。以免设计走不必要的弯路,耽误设计进度。

(三)模块实现

模块设计阶段,则是以文档引导设计。主要任务为:模块及设计、编码、测试和综合;芯片级的测试环境设计、编码和测试;给出一个更准确的芯片面积估计。在这个阶段,编码的测试一般使用VCS或者是modelsim软件。代码综合使用的综合器包括Synopsys公司的DesignCompiler或者SynplifyPro,Candence公司的BuilderGates等。这个阶段输出所有的模块设计、代码和模块织的测试;初始的模块级综合;最终决定的芯片引脚。

(四)系统仿真,综合和版图设计前门级仿真阶段

该阶段的主要任务是:撰写系统测试文档;编写测试伪代码;进行RTL(硬件描述语言)级与门级仿真;记录跟踪问题的解决过程,如可能,使用错误自动报告系统进行错误的反馈和修改;检查芯片设计是否满足设计规范;开始撰写芯片的使用指南;自行编写综合脚本,进行设计综合(这个时候就需要掌握TCL脚本的简单写法);依据芯片特性,大致画出芯片内模块摆放的方法成功地完成第这个阶段输出的条目如下:验收过的系统仿真;所有的RTL级仿真和门级仿真完成及测试报告;综合后的网表。

四、时序验证和版图设计

ASIC设计的第四部分是时序验证和版图设计。这个阶段是通过时序分析来指导版图设计。主要的流程如图1所示。

这个阶段需要多次进行预布局布线,从整个电路中提取出所有时序路径并计算信号沿在路径上的延迟传播,进而找出违背时序约束的错误(主要是SetupTime和HoldTime),这些信息添加进入下一轮布局布线方案,尽最大可能的合理布局布线,通过一次次的仿真确定最终的版图信息,并将最终版布局布线之后的版图进行后仿真。这些工作进行完毕以后需要输出物理设计与设计验证两个文档。物理设计(PhysicalDesign)是VLSI设计中最消耗时间的一步.他的工作是将电路设计中的每一个元器件(包括电阻、电容、晶体管、电感等)以及这些元器件之间的连线转换成集成电路制造所需要的版图信。而在版图设

计完成以后,非常重要的一步工作是版图验证。版图验证主要包括有设计规则检查(DRC),版图的电路提取(NE),电学规则检查(ERC)和寄生参数提取(PE)。对版图进行布局与布线不仅不要丰富的专业知识,同时更需要很多模拟电子以及布线的经验。布局布线使用的工具一般为SocEncounter。SOCEncounter采用层次化设计功能将芯片分割成多个小块,以便单独进行设计,再重新进行组装。SOCEncounter首先读入RTL或门级网表,并快速构建可准确代表最终芯片(包括时序、布线、芯片大小,功耗和信号完整性)的芯片“虚拟原型”。通过使用物理虚拟原型功能,设计师可以快速验证物理可行性并在逻辑上进行必要更改。在布局布线的时候,需要首先指定IO,电源和地的布置,制定平面布置、插入时钟树等工作之后,才可以进行开始使用工具进行自动的布局布线。最后得到的布局布线的结果仍然需要手工调整,才可以得到合理的设计版图。

五、流片与整理阶段

数字集成电路设计的最后阶段为流片与整理阶段。在完成版图设计之后的仿真和综合之后,网表被送去生产。生产签字文档将作为设计者和生产厂商之间的ASIC生产签字的根据。这个文档清楚地描述了网表的版本号、ASIC生产商所需要的测试向量、质量意向和商业上的问题等。签字之前,ASIC生产厂商需要仔细检查设计者提供的网表文件、版图设计结果和测试向量。通常ASIC生产厂商要求测试向量在签字之前是经过仿真的,这是一个比较长的过程。在样片返回设计公司以后,仍然需要测试芯片;用错误报告数据库跟踪测试中出现的错误;分析失败的测试例;对ASIC中出现的错误进行定位;针对ASIC中出现的错误,确定在网表中的改动;评估芯片的工作电压范围和温度范围(环境测试);进行与其他已有产品的互通性测试。确保生产的集成电路达到最初规定的性能与设计指标。

综上所述,由于底层工艺技术的不断变化,以及新工具厂商的出现,ASIC设计流程会出现一些流程上的调整,这个流程也不是一层不变。本论文所讲述的是现在各个IC设计公司通用的设计流程。

参考文献:

[1]我国数字频率合成芯片获突破性进展. /news_show.asp.

集成电路布图设计范文5

关键词:模拟集成电路;自适应加权;多目标优化;Pareto最优前沿

中图分类号:TM352 文献标识码:A 文章编号:2095-1302(2016)10-00-02

0 引 言

一直以来,人们都想实现模拟集成电路设计的自动化,但考虑到模拟集成电路性能指标多,各性能指标间互相影响等因素,使得模拟集成电路的自动化进程远远落后于数字集成电路,模拟集成电路已经成为制约集成电路发展的瓶颈。随着技术的发展,片上系统将模拟集成电路与数字集成电路整合到一块芯片上。但人们对模拟集成电路的自动化研究却从未中断过,同时也取得了一些成果,其中基于优化的设计方法因适用范围广而受到了人们的青睐。

基于优化的设计方法将模拟集成电路的设计看作是多目标优化问题,电路设计时的性能指标如增益、带宽、相位裕度等就是多目标优化的目标函数。通过多目标优化算法求解出电路目标空间的Pareto前沿,该前沿就是电路各种性能指标折衷后的最优前沿,允许电路设计者从一组相互冲突的设计指标中做出最佳选择。

基于优化的设计方法的核心是多目标优化算法,解决多目标优化问题的常用算法是加权和算法[1],该算法容易理解、操作简单,但是该算法不能求出Pareto前沿上位于凹区间内的解,而当权值均匀分布时,Pareto前沿上凸区间内的解分布不均匀[2]。本文采用了自适应加权和算法,该算法在加权和算法的基础上改进而来,克服了加权和算法的上述缺点。

1 自适应加权和算法原理

自适应加权和算法[3]的权值系数没有预先确定,而是通过所要求解问题的Pareto前沿曲线获得。首先用传统加权和算法产生一组起始解,然后在目标空间确定需要细化的区域。将待细化区域看作可行域并且对该区域施加不等式约束条件,最后用传统加权和方法对这些需要细化的子区域进行优化。当Pareto前沿上的所有子区域长度达到预定值时,优化工作完成。

图1所示的自适应加权算法与传统加权和算法进行了对比,说明了自适应加权和算法的基本概念。真正的Pareto前沿用实线表示,通过多目标优化算法获得的解用黑圆点表示。在该例中,整个Pareto前沿由相对平坦的凸区域和明显凹的区域组成。解决这类问题的典型方法就是加权和算法,该算法可以描述成如下形式:

上式中描述的是两个优化目标的情形,J1(x)和J2(x)分别为两个目标函数,sf1,0(x)和sf2,0(x)分别为对应的归一化因子,h(x)和g(x)分别为等式约束条件和不等式约束条件。

图1(a)为采用加权和算法后解的分布,可以看出大部分解都分布在anchor points和inflection point,凹区间内没有求出解。该图反映了加权和算法的两个典型缺点:

(1)解在Pareto前沿曲线上分布不均匀;

(2)在Pareto前沿曲线为凹区间的部分不能求出解。

因此尽管加权和算法具有简单、易操作的优点,但上述缺点却限制了其应用,这些固有缺陷在实际多目标优化设计问题中频繁出现。图1描述了本文所提出的自适应加权和算法的总体流程以及基本概念。首先根据加权和算法得到一组起始解,如图1(a)所示,通过计算目标前沿空间上相邻解的距离来确定需要进行细化的区域,如图1(b)所示,该图中确定了两个需要进行细化的区域。在确定需要进行细化的区域分别在平行于两个目标方向上添加额外的约束,如图1(c)所示,在该图中向减小方向J1添加的约束为1,J2减小方向添加的约束为2。对细化后添加完约束的区域用加权和算法优化,得出新解,如图1(d)所示,其中加权和算法求解最优解时采用Matlab中的fmincon函数。从该图中可看出,细化区域内产生了新解,Pareto前沿上解的分布较之前更加均匀,且求出了凹区域内的解,继续细化能够找出更多的解,Pareto前沿上的解也将分布地更加均匀。自适应加权和算法的流程图如图2所示。

2 两级运放设计实例

以一个带米勒补偿的两级运放[4]为例,说明自适应加权和算法的多目标优化设计。两级运放电路图如图3所示。

电路的各项性能指标如表1所列。

电路优化过程中采用工作点驱动[5,6]的设计方法,电路的设计变量为电路直流工作点上一组独立的电压、电流。电路性能通过方程获得,但方程中的小信号参数通过对工艺库进行模糊逻辑建模[7,8]得到,使得计算速度提高的同时保证了计算精度。两级运放电路的优化结果如图4所示。

图为算法迭代五代后的优化结果,由图可以发现,经过五代的优化迭代,求出的最优解在Pareto前沿上分布均匀。在同一电路中,单位增益带宽的增加与摆率的增加都会使功耗增加,而电路功耗降低导致的结果是电路的面积增加,或通过牺牲面积来换取低功耗,牺牲面积换取电路的带宽增加。这些结果与电路理论相吻合,同时也再次说明了模拟电路设计过程中的折衷以及模拟集成电路设计的复杂性。

3 结 语

自适应加权和算法能求出位于凹区间内的最优解,并且最优解分布均匀。本文通过两级运放电路验证了算法的优化效果,最终得到了满意的优化结果。

参考文献

[1]阳明盛,罗长童.最优化原理、方法及求解软件[M].北京:科学出版社,2010:92-94.

[2]I.Das, J.E. Dennis. A closer look at drawbacks of minimizing weighte dsums of objectives for Pareto set generation in multicriteria optimization problems [J]. Structral Optimization, 1997(14):63-69.

[3]I. Y. Kim, O. L. de Weck. Adaptive weighted-summethod forbi-objective optimization:Paretofrontgeneration [J]. Struct Multidisc Optim, 2005(29):149-158.

[4]Razavi B. Design of analog CMOS integrated circuits [M]. New York: Mc Graw-Hill, 2001.

[5]陈晓,郭裕顺.工作点驱动的模拟集成电路优化设计[J].杭州电子科技大学学报,35(6):18-22.

[6]Guerra-Gomez I, McConaghy T, Tlelo-Cuautle E. Operating-point driven formulation for analog computer-aided design [J]. Analog Integrated Circuits and Signal Processing, 2013, 74(2):345-353.

集成电路布图设计范文6

集成电路是当今信息技术产业高速发展的基础和源动力,已经高度渗透与融合到国民经济和社会发展的每个领域,其技术水平和发展规模已成为衡量一个国家产业竞争力和综合国力的重要标志之一[1],美国更将其视为未来20年从根本上改造制造业的四大技术领域之首。我国拥有全球最大、增长最快的集成电路市场,2013年规模达9166亿元,占全球市场份额的50%左右。近年来,国家大力发展集成电路,在上海浦东等地建立了集成电路产业基地,对于集成电路设计、制造、封装、测试等方面的专门技术人才需求巨大。为了适应产业需求,推进我国集成电路发展,许多高校开设了电子科学与技术专业,以培养集成电路方向的专业人才。集成电路版图设计是电路设计与集成电路工艺之间必不可少的环节。据相关统计,在从事集成电路设计工作的电子科学与技术专业的应届毕业生中,由于具有更多的电路知识储备,研究生的从业比例比本科生高出很多。而以集成电路版图为代表包括集成电路测试以及工艺等与集成电路设计相关的工作,相对而言对电路设计知识的要求低很多。因而集成电路版图设计岗位对本科生而言更具竞争力。在版图设计岗位工作若干年知识和经验的积累也将有利于从事集成电路设计工作。因此,版图设计工程师的培养也成为了上海电力学院电子科学与技术专业本科人才培养的重要方向和办学特色。本文根据上海电力学院电子科学与技术专业建设的目标,结合本校人才培养和专业建设目标,就集成电路版图设计理论和实验教学环节进行了探索和实践。

一、优化理论教学方法,丰富教学手段,突出课程特点

集成电路版图作为一门电子科学与技术专业重要的专业课程,教学内容与电子技术(模拟电路和数字电路)、半导体器件、集成电路设计基础等先修课程中的电路理论、器件基础和工艺原理等理论知识紧密联系,同时版图设计具有很强的实践特点。因此,必须从本专业学生的实际特点和整个专业课程布局出发,注重课程与其他课程承前启后,有机融合,摸索出一套实用有效的教学方法。在理论授课过程中从集成电路的设计流程入手,在CMOS集成电路和双极集成电路基本工艺进行概述的基础上,从版图基本单元到电路再到芯片循序渐进地讲授集成电路版图结构、设计原理和方法,做到与上游知识点的融会贯通。

集成电路的规模已发展到片上系统(SOC)阶段,教科书的更新速度远远落后于集成电路技术的发展速度。集成电路工艺线宽达到了纳米量级,对于集成电路版图设计在当前工艺条件下出现的新问题和新规则,通过查阅最新的文献资料,向学生介绍版图设计前沿技术与发展趋势,开拓学生视野,提升学习热情。在课堂教学中尽量减少冗长的公式和繁复的理论推导,将理论讲解和工程实践相结合,通过工程案例使学生了解版图设计是科学、技术和经验的有机结合。比如,在有关天线效应的教学过程中针对一款采用中芯国际(SMIC)0.18um 1p6m工艺的雷达信号处理SOC 芯片,结合跳线法和反偏二极管的天线效应消除方法,详细阐述版图设计中完全修正天线规则违例的关键步骤,极大地激发了学生的学习兴趣,收到了较好的教学效果。

集成电路版图起着承接电路设计和芯片实现的重要作用。通过版图设计,可以将立体的电路转化为二维的平面几何图形,再通过工艺加工转化为基于半导体硅材料的立体结构[2]。集成电路版图设计是集成电路流程中的重要环节,与集成电路工艺密切相关。为了让学生获得直观、准确和清楚的认识,制作了形象生动、图文并茂的多媒体教学课件,将集成电路典型的设计流程、双极和CMOS集成电路工艺流程、芯片内部结构、版图的层次等内容以图片、Flash动画、视频等形式进行展示。

版图包含了集成电路尺寸、各层拓扑定义等器件相关的物理信息数据[3]。掩膜上的图形决定着芯片上器件或连接物理层的尺寸。因此版图上的几何图形尺寸与芯片上物理层的尺寸直接相关。而集成电路制造厂家根据版图数据来制造掩膜,对于同种工艺各个foundry厂商所提供的版图设计规则各不相同[4]。教学实践中注意将先进的典型芯片版图设计实例引入课堂,例如举出台湾积体电路制造公司(TSMC)的45nm CMOS工艺的数模转换器的芯片版图实例,让学生从当今业界实际制造芯片的角度学习和掌握版图设计的规则,同时切实感受到模拟版图和数字版图设计的艺术。

二、利用业界主流EDA工具,构建基于完整版图设计流程的实验体系

集成电路版图设计实验采用了Cadence公司的EDA工具进行版图设计。Cadence的EDA产品涵盖了电子设计的整个流程,包括系统级设计、功能验证、集成电路(IC)综合及布局布线、物理验证、PCB设计和硬件仿真建模模拟、混合信号及射频IC设计、全定制IC设计等。全球知名半导体与电子系统公司如AMD、NEC、三星、飞利浦均将Cadence软件作为其全球设计的标准。将业界主流的EDA设计软件引入实验教学环节,有利于学生毕业后很快适应岗位,尽快进入角色。

专业实验室配备了多台高性能Sun服务器、工作站以及60台供学生实验用的PC机。服务器中安装的Cadence 工具主要包括:Verilog HDL的仿真工具Verilog-X、电路图设计工具Composer、电路模拟工具Analog Artist、版图设计工具Virtuoso Layout Editing、版图验证工具Dracula 和Diva、自动布局布线工具Preview和Silicon Ensemble。

Cadence软件是按照库(Library)、单元(Cell)、和视图(View)的层次实现对文件的管理。库、单元和视图三者之间的关系为库文件是一组单元的集合,包含着各个单元的不同视图。库文件包括技术库和设计库两种,设计库是针对用户设立,不同的用户可以有不同的设计库。而技术库是针对工艺设立,不同特征尺寸的工艺、不同的芯片制造商的技术库不同。为了让学生在掌握主流EDA工具使用的同时对版图设计流程有准确、深入的理解,安排针对无锡上华公司0.6um两层多晶硅两层金属(Double Poly Double Metal)混合信号CMOS工艺的一系列实验让学生掌握包括从电路图的建立、版图建立与编辑、电学规则检查(ERC),设计规则检查(DRC)、到电路图-版图一致性检查(LVS)的完整的版图设计流程[5]。通过完整的基于设计流程的版图实验使学生能较好地掌握电路设计工具Composer、版图设计工具Virtuoso Layout Editor以及版图验证工具Dracula和Diva的使用,同时对版图设计的关键步骤形成清晰的认识。

以下以CMOS与非门为例,介绍基于一个完整的数字版图设计流程的教学实例。

在CMOS与非门的版图设计中,首先要求学生建立设计库和技术库,在技术库中加载CSMC 0.6um的工艺的技术文件,将设计库与技术库进行关联。然后在设计库中用Composer中建立相应的电路原理图(schematic),进行ERC检查。再根据电路原理图用Virtuoso Layout Editor工具绘制对应的版图(layout)。版图绘制步骤依次为MOS晶体管的有源区、多晶硅栅极、MOS管源区和漏区的接触孔、P+注入、N阱、N阱接触、N+注入、衬底接触、金属连线、电源线、地线、输入及输出。基本的版图绘制完成之后,将输入、输出端口以及电源线和地线的名称标注于版图的适当位置处,再在Dracula工具中利用几何设计规则文件进行DRC验证。然后利用GDS版图数据与电路图网表进行版图与原理图一致性检查(LVS),修改其中的错误并按最小面积优化版图,最后版图全部通过检查,设计完成。图1和图2分别给出了CMOS与非门的原理图和版图。

集成电路布图设计范文7

关键词:地线;印刷板;环路;总线;控制

中图分类号:TP336文献标识码:A文章编号:1009-3044(2012)26-6379-02

Research On Improving the Performance Index of PCB

LIU Shuang-qing, XIE Bao-ling

(The Basis of the Department of Computing in the Army Officer Academy, Heifei 230031, China)

Abstract: This paper starts from the detailed design of printing circuit boards,standardizes the ground wire’s design and optimiz? es the design of electromagnetic compatibility thermal effect and layout,thus improves the reliability and stability of printing cir? cuit.

Key words: ground wire; PCB; loop; bus; control

目前各类电子设备上的电子元器都是以印刷电路板为基体,通过焊接固定在PCB板上。电子产品在实际使用过程中会出现一些无法预知的问题,有时问题与PCB板电路原理图的设计没任何关系,也就是说原理图是正确的。通过试验分析,PCB板设计不当,会影响电子设备的可靠性。为了提高PCB板的可靠性和稳定性,在设计过程中必须采用正确的设计步骤和方法。

1 PCB板器件布置

PCB板大小要根据元器件的多少来确定,面积过大会增加覆铜线的长度,引起阻抗增大,抗噪声能力下降,成本也得到相应的提高;如果设计过小,会影响散热,同时会受到临近线条的干扰。

在器件整体布置时,应把与该器件相关的电路尽量放在一块,这样会提高PCB板的抗噪声效果。如图1所示。CPU附近的晶振、时钟发生器很容易产生噪声,在设计时把它们放在一块。噪音较大的元器件、大电流电路应尽量远离逻辑电路,如果不影响产品的封装,可以考虑另做电路板,这一点至关重要。

2配置去耦电容

在以直流为电源的回路中,所带负载大小的变化会产生电源噪声。数字电路中高低电平的转换会引起很大的尖峰电流,产生瞬变的噪声电压。合理的配置去耦电容会降低负载变化所影起的噪声,具体配置如下:

1)采用10~100uF的电解电容器跨接在电源的输入端,如果PCB板尺寸足够大,为了提高抗干扰性能,在电源端接100uF的电解电容器。

2)为了减少电源对集成电路的影响,在其电源端接上一独石电容或瓷片电容,电容大小为0.01uF。有时在设计PCB板时,为了降低成本,将多片集成芯片紧挨在一起。不可能给每一芯片接上一电容,通常将几个芯片分成一组,以组为单位接上一个容量为10uF的钽电解电容器。

3)ROM、RAM等存储型器件关断时电流变化大,抗噪声能力弱,用一个去耦电容直接接在电源和地之间。

4)设计去耦电容时,引脚越短越好,尤其是在设计高频电路时,最后采用贴片电容。

3电磁兼容性设计

电磁兼容性是指电子设备抗电磁干扰的一个重要指标。为了提高电子设备对外界的抗干扰能力,确保其在特定的电磁环境中工作正常,减小对其它设备的电磁干扰而采取的一个设计方法。

3.1导线宽度

PCB板中的导线具有一定的电感量,这个电感量的大小与其宽度成反比,与导线的长度成正比。在实际电路中的时钟引线、总线常常会产生很大的瞬变电流,这种导线要尽可能的短。1.5mm左右的印刷导线完全可以满足分立元件电路的要求;0.2~1.0mm之间印刷导线可以满足集成电路要求。

3.2布线策略

为了减少导线电感量,设计时可以采用平行走线,但这样设计会增加分布电容。具体设计时在PCB板的一面横向布线,另一面纵向布线,在交叉位置用过孔相连。设计时避免长距离的平行走线,这样会减小PCB板中导线与导线之间的干扰,在设计时让线与线之间保持足够大的距离,条件允许的情况下,在敏感的信号线之间增加一条接地线,信号线与电源线做到不交叉,这样会减小电源对信号的影响。

4地线设计

地线的设计和电源一样重要,合理安排接地方式和接地点的位置。把不同电气特性的地分开设计,在设计地线时应注意以下几点:

1)分开设计数字电路和模拟电路,在实际设计PCB板时往往会有高速逻辑电路和低速的线性电路,尽可能的将它们分开设计,逻辑电路应该接逻辑地,线性电路应该接电源地,必须强制将它们分开接地。电源地线上的电流大,为了减小接地电阻,尽可能的加粗电源的地线。

2)在不影响设计器件布局的情况下,地线尽可能的加粗。地线设计过细,地线的电阻会变的很大,地线上的压降和电流成正比,点与点之间的电位发生改变。特别是对时钟信号影响最大,在对时钟周期要求较高的数字电路中,有可能影响电路的正常工作,电路的抗噪能力差。因此在设计PCB板的地线时应加大接地线面积,使它能通过PCB板3倍的允许电流,设计的地线应大于3mm。

3)设计数字地时,采用环形接地。随着集成电路的广泛应用,在设计时尽量使用集成电路,有时PCB上放置了很多集成芯片,这样空间有限,地线不能设计太粗。如果在它们周围有能耗高的元件时,地线上会产生很大的电位差,抗噪声能力降低。采用环形接地可以减小接地点的电位差,提高PCB板的抗噪声能力。

5热设计

元器件在PCB板合理安装能提高设计的散热能力,其器件在PCB板上的排列要科学合理,不能过于随意。

在放置集成芯片时,当电子设备采用空气对流散热时,在对集成芯片布局时采用纵向排列,如图2所示;当电子设备采用强制散热时,在对集成芯片布局时采用横向排列,如图3所示。PCB板上的元器件应根据其发热的高低和散热的程度分开排列。冷却气流的最上游放置发热量小或耐热性差的器件,冷却气流最下游放置发热量大或耐热性好的器件。

大功率器件水平排列时,将其设置在PCB板的边沿,以便缩短传热路径;在垂直排列时,将其设置在印制板上方,这样可以减小其温度对其它器件的影响。

温度比较敏感的器件应特别注意,器件的温度对它的电气性能影响较大,不能把它放置在发热器件的上端,如大功率电源器件上。

很多电子设备都是依靠空气的自然散热,采用强制散热的很少。在设计时,应分析设备中气流流动的路径,合理的安排器件的位置。PCB板在器件布局时不要留有较大的空域,这空域会减少空气的阻力,热气流增大,空域附近的元器件温度比其它地方的器件高,影响器件的稳定性。

大量实践经验表明,器件的排列方式影响印刷电路的温升,规划好器件的布局可以降低印刷电路的温度,降低设备的故障率。

6小结

通过优化印刷电路板的设计规则,改善了PCB板的可靠性和稳定性。但其性能也不同程度取决于具体电路,在设计中还需根据具体电路的功能、设备的使用环境等因素来综合考虑,才能最大程度地保证PCB板的可靠性和稳定性。

参考文献:

[1]余家春.Protel99SE电路设计实用教程[M].北京:中国铁道出版社,2003.

集成电路布图设计范文8

【关键词】电子线路设计与应用课程项目教学 教学设计

【中图分类号】G 【文献标识码】A

【文章编号】0450-9889(2012)09C-0045-02

电子线路设计与应用是自动化类专业必修的行业通用能力模块,是自动化类专业的基础核心课程,参照高、中级维修电工的国家职业技能标准(电子部分),以工作任务为引领、职业技能为导向构建以工程项目模块的课程体系,以项目为中心,培养学生的综合技能。本文以“简单数字抢答器的设计与制作”为例,探讨高职电子线路设计与应用课程项目驱动式教学设计。

一、教学能力目标及学习模式设计

(一)教学能力目标设计

1 专业能力目标。具体如下:(1)能借助常用仪器仪表判断集成芯片的好坏;(2)能对电子线路性能进行测试与分析,熟练使用常见的电子仪器仪表;(3)能根据电路图对电路进行安装、调试、维修;(4)能按照最优化设计理念对电路功能进行改进与改造;(5)会对电子产品加工进行组织安排、管理等。

2 方法能力目标。具体如下:(1)会识别和测试常用TIL、CMOS集成电路产品;(2)能进行相应资料信息的查询、收集与整理;(3)能应用AutoCAD完成简单数字抢答器的原理图、接线图设计,用面包板完成项目实际制作;(4)能分析和排除项目中的简单故障等。

3 社会能力目标。具体如下:(1)能够做到安全生产、规范操作,节约用电;(2)具有良好的职业素养与职业道德;(3)具有质量、效益、成本意识;(4)能够正确表达和展示工作成果,有良好的沟通能力等。

(二)学习模式设计

电子线路设计与应用课程的教学对象是电气自动化类专业一年级学生,学生的主要情况为:具有一定的电工操作技能,获得了维修电工初级上岗证,但是自学能力不足;具有一定的认知能力与学习主动性,但专业知识综合应用能力不足;学生之间的水平参差不齐,软件应用能力不足。根据以上学生情况分析,本项目以2人为一组,实行“先进带后进”的学习模式,让学习先进的学生与学习后进的学生组成一组,相互学习,共同进步,激发学生学习的积极性。

二、教学过程设计与实施

(一)确认项目任务

“简单数字抢答器”项目是电子线路设计与应用课程的第一个项目,应激发学生的学习兴趣,为该门课程的学习打下良好基础。该项目既包含理论知识,又有一定的实践操作可行性,能起到承上启下的作用,使学生转换思维,运用新的知识、技能解决实际问题。可将“简单数字抢答器”项目分为五个子任务:逻辑代数的认知;逻辑门电路正确使用;不同类型集成门电路的接口;常用集成门电路的逻辑功能识别与检测;会使用常用集成门芯片、按钮、指示灯以及合适的连接线制作简单数字抢答器电路,能应用AutoCAD画出电路的原理图、接线图,能安装、调试、维修电路等。

(二)制定项目教学计划

要制定合理的教学计划,需要根据不同专业和学生的实际情况而定。对于电气自动化技术类专业的学生来说,他们已经完成应用数学、电路、模拟电子技术、Au-toCAD绘图及应用等课程的学习,能够正确使用工具、仪表,会进行电路的布线与操作,具备一定的分析问题、解决问题的能力。“简单数字抢答器”项目教学计划大致可分为:各项目小组制订项目计划,所有小组共同论证项目计划的可行性以及需要改进的地方;对设计的“简单数字抢答器”原理图进行分析,明确元器件连接和电路连线;应用AutoCAD画出布线图;制作电路的元器件清单以及调查所需元器件的市场价格,购买所需元器件,并完成元器件的检测工作;根据布线图制作“简单数字抢答器”电路;完成“简单数字抢答器”电路整体功能检测和简单故障排除;完成项目报告及心得体会。

(三)项目教学实施

项目教学实施本着“人人参与、人人实践”的原则,是一个理论与实践紧密结合的过程,它既注重项目设计与制作的过程,又注重项目完成的成果,鼓励学生发挥聪明才智,设计出功能更加完善的项目电路图,注重创新思维的培养,同时锻炼学生的动手能力,充分调动学生的主观能动性,使学生乐于学习、乐于探索。“简单数字抢答器”项目教学的实施方案如下:

测试常用集成门芯片的逻辑功能,如测试芯片74LS08,74LS32,74LS04(CD40106)、4LS00(CD4011)的逻辑功能;通过亲自布线,掌握常用集成门芯片对信号的控制作用;了解常用74系列门电路的管脚排列;正确使用面包板,正确安装元器件与集成芯片,布线合理,符合工艺要求,具有成本意识与安全意识;画出简单数字抢答器的电路原理图以及布线线图;实际安装制作简单数字抢答器电路;检测、调试、维修简单数字抢答器电路;验收简单数字抢答器电路,并完成项目报告。

三、学习评价设计

项目考核均采用“三位一体”评价模式,即学生自我评价、班组评价、教师(师傅)评价。理论与实践一体化的综合评价模式中,学生不仅会自行设计与制作简单数字抢答器电路,而且能提出该电路的设计与制作缺陷,能对该电路进行一定的电路改造,能自由表达自己的观点,重点培养学生的表达能力与自信心。

(一)期末总评设计

期末总评采用“235”考核方式,即平时成绩20%+期末理论综合考核30%+项目能力考核50%。

(二)项目能力考核评价设计

项目能力考核评价设计详见表1。

(三)期末理论综合考核设计

集成电路布图设计范文9

关键词:高职 电子设计 电子线路CAD技术 应用

中图分类号:TP391 文献标识码:A 文章编号:1007-9416(2011)12-0186-01

对于高职学生而言,要学习的不仅仅是专业知识,动手能力是在学好专业知识的基础上更高的一个层次,也是他们必须拥有的一种能力。在高职院校中,电子信息工程技术专业的学生经常会遇到电子设计等问题,因此,在进行电子设计的时候需要用到的很多专业知识他们是必须掌握的。而电子线路CAD技术在电路板的制作方面的应用就必不可少了。下面我们将对电子线路CAD技术在电子设计中的应用进行研究与探索,说明电子线路CAD技术与电子设计的关系以及在电子设计中发挥的作用。

1、电子线路CAD技术与电子设计的关系

随着电子技术的广泛发展以及新型元器件和集成电路的广泛应用,电路在设计方面也越来越复杂与集成化,因此,对电路的要求也越来越精密。而为了达到电路在复杂与集成化方面的要求,在制作电路的时候单靠手工的操作已经不能完成设计的目的了。所以,就产生了现在我们所用到的电子线路CAD技术。我们在电子设计过程中利用它就能达到电路所要求的精密度。

2、电子线路CAD技术在电子设计中的应用

电子线路CAD技术是使用当前被广泛应用的计算机辅助绘图和设计软件,然后结合学过的专业知识进行设计,以加快设计进程、缩短设计周期、提高设计质量等。电子线路CAD技术在电子设计中的应用主要是一下几个方面:

2.1 绘制电路图

在进行电子设计的过程中,要实现电路的功能最重要的就是编程,但是只有编程并不能完善整个设计,还需要有一个完善的电路来承载这个程序,让它实现它本该实现的功能。在电子设计中,我们一般运用的软件是PROTEL,绘制电路原理图的时候就会用到PROTEL的原理图输入功能。该绘图软件在电路原理图输入方面有着非常丰富的电子器件库,能够为我们电子设计的绘图提供所需的各种电子器件。利用该软件进行电子设计确保了电路原理图的精密度,并且绘制过程也更为方便。比如:我们在画好一个元器件后,觉得它应该放在其他的位置,则只要将它拖动到我们想要放置的位置即可。

2.2 计算机仿真

电子线路CAD技术在电子设计的应用过程中还具备运用其仿真的功能,检查电路的功能是否达到了我们所预期的功能,并且能够对一些数据进行仿真,可进一步对电路进行分析。对于PROTEL软件而言,在它的MULTISIM中有很多种仿真功能,这些仿真功能可以进行直流工作电的分析、瞬态分析、温度扫描分析、参数扫描分析、灵敏度分析、零极点分析、傅里叶变换分析、噪声和失真度分析、最坏情况分析以及蒙特卡罗分析等。在进行仿真的时候,我们首先要进行一个功能仿真,大致了解一下该电路的功能是否达到了预期的功能,然后进行数据仿真,对该电路进行具体的分析,并改正错误的地方。在进行仿真过后,分析结果一般都是以数值或波形的方式显示出来。

2.3 PCB板的设计

PCB板是PROTEL软件将电路原理图进行布线后的一种电路板。在进行PCB板的设计之前,首先要将电路原理图导入,而导入的电路原理图必须是通过仿真的,而且电路原理图中各元器件的电器特性必须与PCB板相同元器件的电器特性相同。最后,设计者就可以利用PCB板自动布线以及手动布线的功能对其进行布线。采用该软件对电路图进行布线,设计者可以先采用自动布线功能对电路进行大致的布线,然后用手动布线功能对其进行美化。这样的过程能够让电路的布线更加美观。

2.4 三维视图

在将PCB板设计好之后,在这样的绘图软件上都有三维视图的菜单,只要点击三维视图的菜单就可以观看设计电路板的三维视图。

3、让学生更好地掌握电子线路CAD技术

如上所述,掌握了电子线路CAD技术对于学生而言,可以更好地进行电子线路方面的设计工作。但在学习这一项技术的过程中,我们往往会发现学生心有余而力不足。部分教材多以PROTEL软件为蓝本,介绍软件的功能、菜单等,辅以一些应用的例子。学生学习后多呈现一种临时性的记忆,即在课程中会用,考核结束后在不长的时间后就不再掌握的现象。

解决这一问题的方法以,通过实践我们认为采用类似德国职业教育所推行的以行动为导向的项目教学法为好。其基本的思路是:

(1)先整体后具体:在学习CAD技术时,先期进行总体介绍,让学生有全局的认识,打消畏难的情绪;而后开始进入各项目的的学习实践。

(2)先低频后高频:总体而言学生进入学习后应从简而繁,低频的一些电子产品其电路较之高频的简单,学习应从其中入手。

(3)先规范后异型:突出异型电路板的设计制做,其目的是让学生今后在实际工作中具有变通的能力,在CAD技术中也手工调整电路布局的精华所在。

(4)先单层后多层,先分立后贴片。此处不再缀言。

最后一点是,对于各个CAD制作的电路,不应仅停留于电脑的设计,在教学的过程中应让学生的设计成为成品。这样可使学习更为直观,并更有成就感,随之的效果是学生对学习到的技术弥久常新。当然,这种做法也会使教学的成本大幅上扬,但从人才培养的角度看,这样的投入是值得的。

4、结语

在电子设计中运用电子线路CAD技术,不仅解决了电子设计中电路原理图绘制以及功能分析和布线方面的苦难。同时,让学生通过在自主地进行一些电子设计,并在的过程中运用该技术,适于锻炼他们使用电子线路CAD技术的实际能力并有助于其真正了解和掌握这一技术。

参考文献

[1]朱洁.电子线路CAD技术在高职电子信息工程专业毕业设计中的应用[J].中国现代教育装备,2010,(15):55~57.

集成电路布图设计范文10

关键词:集成电路;异步电路;petri网;状态转移图和Petrify

中图分类号:TN710 文献标识码:B 文章编号:1004373X(2008)1601104

Design of Asynchronous Circuit Based on STG and Petrify

XIE Ye

(Electrical College,Jiangsu University,Zhenjiang,212013,China)

Abstract:With the advancement of design technics,the IC system will require asynchronous techniques in the future as the asynchronous method has more advantages than synchronous method.And the research of asynchronous technique is a hotspot.Asynchronous circuit design using Petri net is very effective because of the property of PN.The paper discusses the design of asynchronous circuit based on STG with the help of EDA tool petrify.

Keywords:integrated circuit;asynchronous circuit;petri net;STG and Petrify

1 引 言

随着集成电路技术发展进入深亚微米后,器件尺寸不断缩小,单芯片的集成容量不断扩大,同步集成电路设计将面临着在芯片中出现大量参数变异情况,因此不再能够有效控制时钟网络中的延迟以及其他的一些全局信号。相对于同步电路,异步电路具有以下的一些优良特性:无时钟偏斜、平均性能代替了最差性能、消除了全局时钟问题、良好的移植潜力、良好的环境适应能力以及很强的互斥性等。据国际半导体技术委员会(International Technology Roadmap on Semiconductors)预测,在未来嵌入式系统和片上系统的设计将会越来越多的采用异步技术的设计方法[1]。

异步电路设计方法的研究一直是业界和学术界的一个热点,现在已经提出了多种异步电路设计方法,在这些方法中有不少是采用petri网设计异步电路。因为petri网的一些内在属性使得它非常适合用于描述和分析并发系统,也就异步系统。Petri 网是常用于描述并发系统的一种形式化语言,非常适合表示系统内部事件之间的并发性、选择性和因果性。Petri网具有以下一些特性[2]:

(1) 一种理解简单,使用方便的图形表示法;

(2) 具有强大的模拟功能能够在不同的抽象级对各种异步系统进行行为级描述;

(3) 形式化的运算语法能够保证安全性及验证的正确性;

(4) 能够从网模型直接对电路进行综合。Petri 网已经广泛地用于:设计和描述异步电路、操作系统和分布计算的资源分配、并发程序的分析、性能分析和时序验证以及高级电路设计中。

2 petri网和STG的基本定义[3]

基本Petri网为四元组,N={P,T,F,M0},其中P={P1,P2,…,Pm}为库所集,T={t1,t2,…,tn}为变迁集,F粒P×T)∪(T×P)为网的流关系,M0:P{0,1}为初始标识。记t={pO(p,t)∈F}为变迁的前置集,t′={pO(t ,p)∈F}为变迁的后置集。PN的库所中可能含有托肯(token),变迁在一定条件下可以激活,若t在标识M下是授权的,则t可激活,变迁激活后产生新的标识M′,记作M[t>M0′。

petri 网是一族相关网模型的总称,它并不是单个和已经精确定义了的模型。通常会根据某些实际应用情况给petri 网加上特定约束。信号转移图(Signal Transition Graph,STG)就属于petri网的一种约束类,STG是常用于描述异步电路行为特性的一类petri网, 它是一种1有界petri 网,只允许简单的输入选择。一个STG是一个三元方程组G=(N,Y,λ),N=(P,E,F,m0)表示的是一个PN;Y是一个非空的二进制信号组,λ:EY×{+,-,~}。y.+(y.-)表示信号y的上升沿(下降沿)(在4相信号中),而y.~表示y的一个信号跳变(在两相信号中)。因此,STG就是一个由二进制跳变标识PN的事件集合。

STG是具有以下这些特性的一类petri 网:

(1) 输入自由选择:多个输入的选择必须只能由互斥输入控制;

(2) 1有界:每个库所中托肯数量不超过1;

(3) 活性:必须保证STG不会进入死锁状态;

描述速度无关电路的一个STG必须具备以下一些特性:

(4) 一致状态赋值:在STG的任何执行过程中某个信号的跳变必须严格的在+和-之间进行;

(5) 持续性:如果某个信号跳变处于使能状态,则必须保证它会激发,即这个信号跳变不会因为别的信号跳变而变成不使能;

要使STG能够进行综合,则还必须具备以下这个特性:

(6) 完全状态编码(CSC):在STG中不允许2个或2个以上的不同标识具有相同的信号值。否则还必须引入额外的状态变量,使不同的标识对应不同的状态。

3 STG描述电路的基本模块

STG基本可以用于描述各种复杂度异步电路的行为特性,但这些电路一般都是由以下4个基本构造块(fork,join,choice和merge)按照一定的组合方式实现。图1所示的是4个常用的构造块。图2所示的是一些基本门电路的PN模型。

图1 fork,join,choice和merge的Petri网描述常用的一些基本门电路对应的PN模型如图2所示[4]。

图3所示的由fork,join,choice和merge构成的一个PN的例子。从图3中可知,库所P1是一个选择库所(choice),它既可以通过变迁T1把托肯传送到库所P2,P3和P4中去也可以通过变迁T6把托肯传送给库所P9。当托肯传给库所P2,P3和P4后,接着通过变迁T2,T3和T4在分别把其中的托肯传给库所P5,P6和P7,然后一个变迁T5(Join),再把托肯传给库所P8;当迁T6把托肯传送给库所P9后经过T7然后把托肯传给库所P8。再经过一个Merge,经过变迁T8后把P8中的托肯传给P1。

图2 基本门电路的PN模型图3 由基本构造块构成的一个PN模型图3由基本构造块构成的一个PN模型由这些基本模块再加上一些其他的控制单元可以用于描述绝大部分的异步电路。用Petri网对电路进行描述后则可以通过一些相应的综合工具把描述转化成电路实现。

4 通过petrify来实现一个2位缓冲器电路

4.1 STG的综合步骤

对电路的综合是异步电路设计过程中最重要也最为复杂。采用STG描述电路后通过对其进行综合得出电路的实现。综合过程主要由以下几个步骤构成[5]:

(1) 采用STG准确描述电路的行为特性以及它的(虚拟)外部环境。

(2) 检验所得到的STG是否满足以下条件:1有限、一致状态赋值、活性、仅输入自由选择且受控选择、持续性以及完全状态编码(CSC)。

(3) 选择一个实现模块并且计算每个变量所对应的布尔表达式,如果采用的是状态保持元件则相应的要计算置位复位功能的布尔表达式。

(4) 计算出所采用的实现模块的布尔表达式。

(5) 手工修改实现,如通过一个确定的复位信号或初始化信号可以强制使电路进入一个期望的初始状态。

(6) 把设计输入到CAD工具进行仿真且对电路(或者是由电路组成的系统)进行布局布线。

由于要得到电路状态必须要知道电路中所有信号值大小,因此综合过程中的计算就可能会非常复杂,即使是很小的电路其计算量也可能会很大。所以一般都需要采用专门的EDA工具完成这个步骤,在这采用工具Petrify来完成综合过程。

4.2 用Petrify实现一个2位缓冲器

Petrify是一个用于综合有限petri网和逻辑综合异步控制器的设计工具。Petrify主要是可以对petri 网进行操作以及从STGs描述得到综合速度独立 (speedindependent, SI)控制电路,它是一个公开的工具。

petrify可以解释petri网成一个信号转移图(STG),此时事件是用数字信号的上升/下降跳变来表示。从STG开始,Petrify通过状态编码、逻辑综合、逻辑分解和工艺映射到门元件库等几个步骤可以综合成一个电路。在设计过程中还可以对要进行综合的petri网加上一些所需要的特性(如自由选择、惟一选择、纯petri 网和状态机分解等)。Petrify也可以由设计者或工具自动生成的时序假设条件对电路进行综合。

下面将用petrify实现一个简单的电路,用握手协议实现一个2位缓冲器电路。图4所示是这个电路的STG图和输入到petrify中的文本描述。

图4 2位缓冲器电路的STG和文本输入描述把电路的文本描述输入到petrify工具中,对其进行综合。首先petrify会判断STG描述是否满足CSC的条件,即STG中不允许2个或2个以上的不同标识具有相同的信号值。如果不满足这个条件,Petrify会自动的插入一些变量来使解决这个问题。以下所示便是petrify运行后的结果:

\#./petrify/usr/soft/examples_petrify/buf_2.g

State coding conflicts for signal a

State coding conflicts for signal b

The STG has no CSC.

Adding state signal:csc0

State coding conflicts for signal a

State coding conflicts for signal csc0

The STG has no CSC.

Adding state signal:csc1

State coding coflicts for signal a

State coding conflicts for signal csc0

The STG has no CSC.

Adding state signal:csc2

The STG has CSC.

由上可知,这个电路的在综合过程中,petrify首先提示信号a和信号b中出现了状态编码冲突问题,通过插入状态信号变量csc0,csc1和csc2达到CSC的要求。

在综合开始时设计者可以根据自己的需要选择不同电路实现方式:包括使用复杂门实现、标准C元实现和普通C元实现等多种方式。一旦选择了某种实现方式后,Petrify便可得出相应变量的布尔表达式。以下将给出这个2位缓冲器的普通C元实现和复杂门实现这2种方式。

4.2.1 两位缓冲器的普通C元实现方式

C元是异步电路实现的一个最基本的元件,广泛用于异步电路中。它包括普通C元和标准C元等。

\# more buf_2.gcnout.eqn

#EQN file for model buf_2

#Generated by./petrify 4.2(compiled 150ct03 at 3:06 PM)

#Outputs be tween brackets"\"indicate a feedback to input "out"

#Estimated area=27.00

INORDER=a b csc0 csc1 csc2;

OUTORDER=\ \ \ \ \;

\=csc0′ csc2+csc1′;

\=csc0′;

\=csc1 csc2;

\=a csc0′ csc2;

\=b csc1′ csc2;

\=csc2(\+csc0)+csc0\;#mappable onto gC

\=a(\+csc1)+csc1\;#mappable onto gC

\=b(\+csc1)+csc2\;#mappable onto gC

从petrify中得出的结果可以看到各个变量的布尔表达式([a]=csc0′csc2+csc1′,[b]=csc0′)以及插入的状态变量csc0,csc1和csc2的值,同时还估计出电路的面积为27个单位

4.2.2 两位缓冲器的复杂们实现

复杂门实现是指电路不是由基本的门元件组合来实现而是采用一个复杂门实现。

#EQN file for model buf_2

#Generated by./petrify 4.2(compiled 15Oct03 at 3:06 PM)

#Outputs between brackets"\"indicate a feedback to input "out"

#Estimated ared=14.00

INORDER=a b csc0 csc1 csc2;

OUTORDER=\ \ \ \ \;

\=csc0′ csc2+csc1′;

\=csc0′;

\=csc2(csc0+csc1);

\=a(csc0′ csc2+csc1);

\=b(csc2+csc1′)

可以看出,采用不同的实现方式得出的结果也存在很大的不同,此时电路的中虽然变量a和b的布尔表达式是相同但实现过程完成不一样,而且插入的变量csc0,csc1和csc2的值也与前面那种实现方式不同;还可以看出估计的实现电路面积为14个单位,这大大小于普通C元实现方式。以上所示的是2位缓冲器的2种实现方式,设计者还可以选择其他的实现方式,或者建立自己的元件库,而通过调用元件库中实现电路。以上只是实现一个简单的例子,petrify还可以设计更为复杂的异步电路。

5 结 语

随着集成电路设计的发展,电路的规模越来越大,传统的同步技术将不能满足系统设计的要求,预计未来的系统设计将越来越多地采用异步技术。Petri网由于其内在的特性,非常适合用于异步电路设计的多个步骤中,也必将是异步电路设计方法研究的一个热点方向。

参 考 文 献

[1]Alain J Martin,Mika Nystrom.Asynchronous Techniques for SystemonChip Design[J].Proceeding of the IEEE,2006,94(6):1 0891 090.

[2]Yakovlev A,Semenov A.Petrinet and Asynchronous Circuit Design.The Institution of Electrical Engineers,1996.

[3]R.大卫,H.奥兰.佩特利网和逻辑控制器图形表示工具(GRAFACE)\.黄建文,赵不贿,译.北京:电子工业出版社,1996.

[4]司玉娟,王万树.时序逻辑电路的petri网分析方法\.计算机学报,1996,19(7):492494.

[5]Jens Sparso,Steve Furber.Principles of Asynchronous Circuit DesignA System Perspective\.Kluwer Academic Publishers,2001.

集成电路布图设计范文11

[摘要]本文就将民用建筑机电安装的综合规划布置进行探讨。

[关键词] 机电管道规划布置

建筑机电安装包括给排水、消防、电气、通风空调、智能系统等专业,各专业又分不同的子分项,各类机电管道和设备在建筑物内外交叉敷设安装。如果不对各专业的管道设施在施工前进行综合规划布置,在施工过程中就很可能会出现不同专业间管道和设备打交叠位,造成返工、增加工程作业量甚至出现施工现场无法安装或施工质量不符合验收规范要求等问题。走向排位杂乱的机电管路设施也会增加日后运行管理维修的难度。因此,建筑机电管道设备安装工程有必要在工程施工作业前预先进行排位规划布置,以保证施工过程作业有序进行,管道设施空间分布整齐条理,达到充分利用空间节约空间的效果。建筑机电安装的排位规划布置应从设计阶段开始。在设计初始阶段,各个专业相互提交专业条件资料,在这个阶段各专业设计人员就应当考虑专业设计规划布置对日后施工安装的所产生的影响,考虑设计方案中管路走向布局施工的可行性和合理性。各个专业在提交专业条件时除了要考虑满足自身专业要求和节约建筑面积,也要考虑本专业管路设备的空间分布会不会对其他的专业产生不良的影响。比如各个专业井道的面积分配布置既要节省建筑面积,也要满足各专业管路安装的空间要求,方便各专业之间的搭接配合;配电房、水泵房、空调机房、监控中心等管路集中的设备房位置的选取应尽量靠近建筑物中心,各类管道从中心向周团均匀分散布管;用电量集中的设备应尽量靠近配电房,以减少配电线路长度等等。各类管道设施在建筑空间各个层面的设计分布要预先做好专业间的沟通,对管路空间布置进行统一的规划,达成共同遵守的分布排位规则。例如,在各类管路交叉比较多的地方,从左到右或从上到下等空间的排位在设计前时就明确下来,水电空暖等专业管路的排位达成初步的排位顺序,各专业设计人员在设计过程中落实贯彻这些约定的规则。专业间关于建筑空间布置的沟通调整要贯穿整个设计过程,如果在设计过程中出现某专业的设计更改时应及时通知其它专业设计人员做出相应的变更调整。到设计阶段后期,各专业的施工平面图设计已基本完成,管道设备的平面布置也基本明确,对设备管道多的走廊、地下室等部位,由建筑专业设计人员定出层高净空后,再由结构及各个设备专业提交各个层面各专业的梁高、管道设备尺寸等空间要求,统一复核是否能满足层高净空要求,对不能满足要求的地方再做适当的调整,直至满足各专业要求。

在工程施工阶段,总承包单位在施工过程中机电设备安装综合排位布置规划起主导作用。在工程施工前,总承包单位拿到各专业的施工图纸后,就要尽快指派专业工程师审读图纸,了解各专业管道设备的安装要点和重点,对在结构施工阶段需要预埋的孔洞,构件等做出标识,并向分包单位做有针对性的技术交底,提醒分包单位注意那些重点预埋部位,防止因漏埋错埋孔洞、预埋件造成日后施工安装时无法按照设计的布置排位要求完成作业。各专业分包单位审读图纸的专业工程师也要及早发现并提出各专业在施工过程中可能出现的无法施工或可能会出现安装位置不合理的问题,集中统一提交总承包单位及设计单位核对,做出及时的更改。对预埋在剪力墙或楼板内的强弱电线管和冷热水管道等预埋管线,应严格按施工图施工,预埋在钢筋面层内部,保证预埋线管有足够的保护厚度,减少日后在墙身楼板打钻时打穿线管造成破坏。预埋在剪力墙或楼板内管线在拆除模板后,在管线所在的位置画出管线走向标识线。在墙身及楼板安装吊杆支架时,打钻吊杆支架螺栓就可以避开这些标识线所在的位置,避免打穿预埋的管线。对项目大机电专业多路道设施多的工程,安装施工开始前,总承包单位应组织各专业分包单位的技术人员针对机电安装综合排位布置进行图纸会审或开专题会议。

对管道设备重迭交叉多的部位,例如公共走廊、大面积房间,地下室等部位,对排位布置展开讨论,达成排位规则。总承包单位可安排机电工程师将不同专业的平面图放在同一平面上重叠,删去对安装空间影响不大的支管小管,绘制成综合各个专业主要管路的综合平面布置图,并标识出各个专业管道及设备的管径,截面积、体积等数据,再进行平面位置调整,减少平面图上各个专业管道的交叉重迭,使各类管道走向条理分明。根据绘制成的机电管道设备综合平布置图,对管路平行交叉多,建筑空间小、结构梁大、有大风管大水管大设备的部位,或有可能会出现排位困难地方,再绘制出关键部位的剖面图。由总承包单位组织各专业安装分包单位,共同讨论,对关键部位的布置进行排位,确定天花标高净空高度及各类管路的空间次序。管道的外壁、法兰边缘及热绝缘层外壁等管路最突出部位距墙壁或柱边的净距不应小于lOOmm。对于并排管路上的并列阀门的手轮,其净距不小于l00mm。

机电专业设备主管道安装完成后开始装修装饰工程,这时天花材质及高度基本已经确定,综合布置主要就集中在天花板下的布置,天花板下有强电系统的灯具,智能系统的广播、摄像头,消防系统的感应探头、喷头,通风空调系统的排送风口等设施。布置时根据天花的材质规格型号、以及同专业设施间和不同专业设施间的规范间距要求,既要考虑天花面的观感上分布均匀美观,也要考虑各种设施的排位分布是否能满足各自的规范要求。块状天花下的机电设施应安装在靠近天花块中心,条状或整板的天花板下的设施应左右前后均匀规则排布。由于这是一项综合各专业间协调性的工作,这项工作一般也由总承包单位来完成,总承包单位组织不同专业间的协调讨论直到平面布置最终确定。

建筑外立面上不仅有建筑幕墙,也有各类门窗百叶。做好外立面的综合布置在考虑满足外观美观的同时也要满足各类建筑门窗百叶的使用功能。建筑立面上的门窗百叶除了有提供进出建筑物的门,通风采光的窗户,还有各类通向室外的设备房的门窗,通风百叶。建筑立面施工前,总承包单位应组织幕墙、结构及与外墙相关的设备间门窗,通风百叶等专业公司共同核对门窗百叶的位置,必要时进行位置调整,既要保证立面上各类建筑门窗百叶的使用功能,也不损坏建筑立面观感的美观。

南方地区沿外墙给水支管、雨污排水管、燃气管、空调冷凝水管等布管较多。考虑外墙面整洁美观,设计时就应尽量把管道分布在室内,如果室内空间不够或因管道安装在室内会影响其它建筑使用功能,外墙面上管道应考虑安放在不明显如外墙凹位等位置,再采用建筑室外饰材封包掩藏,或者在沿外墙的给水支管、排水管(燃气管一般统一油色,不允许涂其它颜色)均用涂料刷成与外墙面整段相同颜色,达到外观美观效果。

集成电路布图设计范文12

关键词:GPRS;输电线路;红外热像;监测

中图分类号:TM733文献标识码:A

文章编号:1004-373X(2009)20-212-04

Design and Implementation of Infrared Imaging On-Line Thermal Measurement System

SUN Shuai,YANG Xing

(Taiyuan University of Technology,Taiyuan,030024,China)

Abstract:According to the latest infrared imaging technology,a new transmission line on-line thermal measurement system is designed.The application model of infrared imaging technology in monitoring temperature of transmission line is explained.The working principle,the systematic structure,the functions,the operation process and the composition of various parts of this system are discussed particularly,mainly including the frame structure of the hardware design and software design.This system adopts JPEG compression coding technology to deal with the infrared thermography,combining with the GPRS wireless network to transport the real-time data,and temperature monitoring of the transmission line is implemented.All technical targets of this system have reached design demands through operating and testing the transmission line on the spot.

Keywords:GPRS;transmission line;infrared imaging;monitoring

0 引 言

在供电网络发展极为迅速和网架结构日趋合理化的今天,国家对电力系统供电可靠性的要求越来越高。红外热像测温技术在电力工业设备状态检测领域得到了广泛的应用。但红外热像图数据的大容量与传输方式有限性之间的矛盾也越来越突出,而发展到目前,中国移动强大的GPRS无线通信网络为这一问题提供了很好的解决方案[1]。因此,研究输电线路红外热像在线测温是一项迫切而艰巨的任务。

输电线路红外热像在线测温系统运用先进的红外热像技术,对输电线路运行温度进行状态在线监测;利用已有的GPRS无线通信网络实现热像图数据的传输,具有覆盖面广,无需增加传输设备和线路的特点,特别适用于无法架设线路的偏远地域的输电线路场合[2]。系统图像采集与传输终端由红外热像图采集模块、图像数据压缩模块、GPRS网络通信模块、图像数据传输模块和太阳能供电装置等组成,其中图像数据压缩模块采用JPEG硬件压缩编码技术,对静态图像进行压缩编码[7],最大限度地减少了网络传输的数据量,节省了网络资源,提高了图片的传输速度。为了解决设计中的高速率图像采集、压缩控制与数据传输速度相对较慢带来的变速率采样问题,系统硬件结构采用微控制器加可编程逻辑控制芯片(MCU+CPLD)的方案,各项子功能由标准通用模块完成,降低了系统复杂度,提高了系统整体性能,用户可以利用PC机通过Internet上实现热像图的远端采集与现场监控。

1 红外热像技术在输电线路温度监测中的应用模型

1.1 红外热像技术简介

红外热像技术是探测输电线路中各种电气设备表面辐射的不为人眼所见的红外线的技术。它反映设备表面的红外辐射场,即温度场。并根据设备表面的温度场,测量设备某一部分的平均温度。是一种被动的、非接触式的检测手段。红外热像仪就是利用该技术制作而成的检测设备,目前已在电力设备故障诊断领域得到广泛应用[4]。其简单工作示意图如图1所示。

图1 红外热像仪简单工作示意图

1.2 红外热像技术在输电线路温度监测中的应用

输电线路的温度信息可以通过红外图像进行有效反映。红外成像是惟一一种可以将热信息瞬间可视化,并加以验证的诊断技术。红外热像仪可揭示热故障,并通过非接触温度测量加以定性分析,在专业的红外分析软件的帮助下,数秒内便可自动完成分析报告。

所有利用或者发射能量的设备在发生故障前都会产生发热现象。保证电气设备运行可靠性的关键便是对能源的有效管理,而红外热像技术已成为预防性维护领域最有效的检测工具,它能够在设备发生故障之前,快速、准确、安全地发现故障。在电气接点发生故障之前及时发现并进行维修,可以避免输电线路因高温热故障造成断电掉电所带来的高昂代价[5]。

红外热像仪能够正确引导预防性维护专家对电气设备的运行情况进行准确判断。可以将测量温度值与历史温度进行比较,或者与相同时间同类设备的温度读数进行比较,以准确判断是否发生了显著的温升,是否会导致部件失效,带来生产隐患。主要用于电力预防性维护等用途。特别是用于输电线路预防性维护、检测方面,具有很大的优越性。

2 输电线路红外热像在线测温系统的实现方案

2.1 系统的工作原理

安装在输电线路现场的前端采集终端利用高精度数字式温度传感器对环境温度参数值进行采集;利用高精度红外热像仪对准需要进行温度监测的电气设备。前端系统定时地采集到各种电气设备有关温度分布的热像图后,将数据传送给电路系统,电路系统经过分析处理后将热像图进行压缩和打包处理,然后通过GPRS无线网络的方式发送到监控中心的计算机数据服务器上。数据服务器安装相应的应用软件程序进行数据的自动处理,主要完成热像图的接收与解压还原,之后以图像和图形的形式将各种电气设备的温度分布情况直观的显示在客户端,不同温度以不同颜色显示。系统结合数据软件系统和各种修正理论模型分析各种电气设备存在的热缺陷和故障状态,及时给出诊断信息,有效预防输电线路高温热故障的发生。系统集成了环境温度在线监测和输电线路温度分布的在线红外热像监测等,并借助现有中国移动强大的GPRS无线通信网络进行实时数据传输,实现了对输电线路温度状态的监测。

2.2 系统的结构

整个监控系统主要分为两个部分:图像采集与传输终端(前端);监控中心计算机数据服务器(中心端)。在系统构成上可分为上位机(监控中心计算机数据服务器)和下位机(图像采集与传输终端)两大部分。计算机数据服务器负责对图像采集与传输终端进行管理和控制,处于管理层次的上层,因此称为上位机。图像采集与传输终端处在数据中心的控制下,负责对数据进行采集和传输,处于管理层次的下层,因此称为下位机。系统结构如图2所示。

图2 系统结构

图像采集与传输终端包括以图像采集芯片处理器为核心的图像采集与JPEG压缩部分和GPRS网络传输部分以及红外报警部分。图像采集部分由视频A/D芯片实现模拟图像的数字化转换,使用专用芯片实现JPEG图像压缩编码[6]。GPRS无线网络传输部分由专用GPRS模块实现网络传输功能,它与图像采集部分的接口是通用异步串行接口(UART)。红外报警部分实现输电线路温度出现异常状况的报警功能。下位机主要实现输电线路现场原始图像的采集和压缩以及压缩图像数据的GPRS无线信道传输,这些功能都由相应的软件支持系统实现。

服务器包括硬件和软件,硬件为具有公网IP地址的计算机,软件即为服务器程序,由服务器程序实现GPRS网络传输模块和中心间的命令传递和数据传输。

监控中心计算机数据服务器也包括硬件和软件部分。硬件为一台能接入Internet的计算机,软件为监控程序,电脑的网络状态为公网、动态IP[7]。

在这里特别指出,因为监控中心端满足服务器的网络要求,所以该系统将服务器和监控中心端放到一台计算机上,以节约硬件和网络资源。上位机主要实现压缩图像数据的接收及解码和接收图像数据的数据库保存和处理。

2.3 系统的功能描述

2.3.1 上位机(监控中心计算机数据服务器)

上位机系统在用户计算机上实现和运行并将相关数据存入数据库。主要完成对各个监测点数据的收集,并将下位机的相关配置信息、设置状态信息和环境数据存储到数据库中,方便用户进行数据处理和分析。上位机系统主要功能如下:

(1) 显示:数据的显示包含多项内容,包括:温度传感器采集数据和红外热像监控器热像图的显示、历史值的显示、按照时间显示数据等。

(2) 存储内容:实时数据、历史数据、运行记录、当前状态

(3) 历史数据整理:该系统可以对历史数据文件进行整理,删除选定的历史数据文件,删除某段时间以前的历史数据。

(4) 打印报表:可以打印两种报表,选择日期,再选择报表类型,即可打印。

2.3.2 下位机(前端图像采集与传输终端)

下位机系统通过专用的传感和变送装置,对输电线路环境温度、输电线路温度分布的红外热图像等信息进行监测,并转化为可以被计算机处理的电信号(电压、电流、频率等),再由CPU对电信号进行二次化处理转化为符合一定标准的数据,并存储在存储芯片中,以供保存和分析使用。下位机中的数据无线通信模块起桥梁作用,连接监控中心端(上位机系统)与前端采集终端,并实现它们之间的通信。它负责将数据根据上位机系统请求或主动上报方式通过GPRS通信方式上传给上位机,并将上位机发送的控制命令传输给前端采集终端。

2.4 系统的工作流程

该系统是为满足现场监控而设计,下面对系统的工作过程加以叙述。该系统具有定时观察、即时观察以及现场报警触发等功能[8],具体工作过程如下:

(1) 启动阶段:前端安装并启动以后,GPRS模块自动通过预先设定的服务器地址与中心端进行连接。连接到中心端以后,中心端会向前端发送请求读取终端的命令,前端接收到命令以后发送本终端的应答命令到中心端,中心端记录并监视该前端与中心端的连接。此时,如果客户端也发送网络连接请求到中心端,并发送被监控前端的请求命令,中心器就会将前端和客户端的网络连接对应上,此后前端和客户端就可以采用透明方式进行数据传输,中心器不参与控制,只是提供数据通道[9]。

(2) 定时工作阶段:该系统具有定时工作功能,由前端定时抓拍现场热像图,在中心端实现。即监控中心端定时向前端发送采集热像图的命令,前端收到命令以后会采集当前现场的热像图,通过中心端传输给客户端。定时时间设置由监控中心端软件的设置功能实现。

(3) 实现即时观察:如果当用户无定时监控的要求,或者用户设置的定时时间较长,但在定时间隔中间有观察现场的要求的时候,用户可以启动即时功能。在监控中心端软件上有按钮,点击此按钮时,中心端会向前端发送采集图像的命令,前端收到命令后会采集当前现场的热像图,传送给中心端。

(4) 实现报警触发观察:在前端,微控制器的输入接口与热像仪相连,热像仪满足报警设置要求时,前端会采集当前现场热像图,传送到中心端。在传送的信息中会带有当前报警情况,当监控中心端收到此报警信息后,会发出警提示,直到用户关闭此次报警。

2.5 系统总体硬件结构

整个系统硬件结构主要分为前端和中心端。前端图像采集与传输系统是基础前提,其结构和性能直接决定了整个系统性能的好坏。前端硬件主要由五部分组成:主控制模块、图像采集模块、图像压缩模块、GPRS无线传输模块以及红外报警模块[10]。中心端即监控中心计算机数据服务器与前端硬件系统起着承上启下的作用。其结构框图如3所示。

图3 热像监控系统总体框图

2.6 系统总体软件结构

软件系统包括前端图像采集与传输终端软件及监控中心端软件。两者在设计时采用如图4所示的软件层次结构。

图4 系统软件层次结构示意图

如图4所示,总体软件分成了三个层次:上层应用软件、中间支持部分、底层系统软件。各个不同部分实现不同的功能。

特别指出:监控中心软件系统是该系统的重要组成模块,它可以分析输电线路中各种电气设备的发热规律及其表面的温度分布和升温状况,结合各种修正理论模型进一步分析各种电气设备存在的热缺陷和故障状态,及时给出诊断信息。所有客户端可以随时对数据进行各种查询、浏览、打印、存档,还可直观地通过观测红外热图像对输电线路的温度状态及发展趋势进行监测,实时地进行预警信息显示与提示。最关键的是:它可以根据相关算法公式来分析所测的温度参数数据,利用趋势分析技术可以模拟地分析出输电线路环境温度及温度分布发展趋势,综合反映输电线路的安全状况。通过监测特定地区输电线路环境温度、输电线路温度分布的红外热图像等信息来估算该地区线路高温事故发生的临界条件,监测输电线路红外热图像数据来掌握线路事故的实时信息,并且系统可以同时与历史数据对比,指导检修或发出预警信号。

3 结 语

开展对输电线路温度分布状况进行实时红外热像在线监测,深入研究输电线路运行现状与故障特性,建立数据监测模型,利用集输电线路环境温度参数和输电线路温度红外热像图等多参数监测与管理的输电线路红外热像在线测温系统来确定输电线路的安全情况,及时给出高温热故障预报信息,对于有效输电线路温度超标的发生,保障现有输电线路的安全运行起到了重要的作用。同时最大程度地解决输电线路安全运行和维护管理的难度问题,也为输电线路参数监测提供了新的方法与途径,开辟新的研究思路。因此,具有重大的理论意义和实践意义,实现了巨大的社会效益,具有广泛的应用和推广前景。

参考文献

[1]韩斌杰.GPRS原理及其网络优化[M].北京:机械工业出版社,2003.

[2]孙晨.对GPRS在电力系统应用的分析[J].电力系统通信,2003,24(11):38-41.

[3]黄贤武.数字图像处理与压缩解码技术[M].成都:电子科技大学出版社,2000.

[4]陈衡,侯善敬.电力设备故障红外诊断[M].北京:中国电力出版社,1999.

[5]董其国.红外诊断技术在电力设备中的应用[M].北京:机械工业出版社,1998.

[6]夏德深,傅德胜.现代图像处理技术与应用[M].南京:东南大学出版社,2001.

[7]范文博.基于GPRS的数据采集远程网络监控系统[J].无线电工程,2004(1):21-23.

[8]季瑞松.基于GPRS无线图像监控系统的研究和应用[D].杭州:浙江大学,2004.