HI,欢迎来到学术之家,发表咨询:400-888-7501  订阅咨询:400-888-7502  股权代码  102064
0
首页 精品范文 集成电路

集成电路

时间:2023-05-31 09:09:25

集成电路范文1

MIC总线控制器远程模块的前端综合设计

基于Astro的MIC总线控制器专用集成电路后端设计

MIC总线控制器专用集成电路的测试设计

论制约MCM技术发展的三个关键问题

一种轨至轨CMOS运算放大器的设计

几种可控硅的触发电路

国内首创低成本纯度99.9999%多晶硅

厚膜HIC电容粘接工艺研究

混合集成电路故障分析方法浅析

电子设备的屏蔽设计

SoC设计中的低功耗技术

一种高效率TEC温度控制器的设计

一种低噪声、宽动态范围I/F转换器的设计

混合有源电力滤波器分析及应用探讨

浅谈状态机的设计方法及应用

微机械陀螺仪版图与工艺设计

CrSi薄膜电阻制作工艺

LTCC半切割基板制作技术研究

MCM-C耐高过载试验研究

CCD图像传感器在军用武器装备中的应用

改善SOICMOS集成电路高温性能的研究

一种非挥发性MNOS器件的制作

非硅MEMS聚酰亚胺牺牲层技术研究

知识信息窗

X5045电路及其应用

单片机系统硬件抗干扰常用方法

浅析正弦振荡器的原理和制作

基于ASL1000自动测试系统的电路测试设计

MCM-C耐高过载试验研究

OLED技术及其国内外发展状况

《集成电路通讯》2006年总目次

MCM-C和HIC的元器件安装工艺技术

厚膜电位器膜层质量控制研究

印刷电路板的基本设计方法及在高频电路中的布线技巧

双通道USB/AC适配器电池充电器IC

虚拟仪器在自动测试领域中的应用

一种模拟开关电路测试台设计与制作

芯片测试中外接引线对电路频率特性的影响

同步MODEM中接收时钟和数据的提取及ADPLL设计

开关磁阻电机调速控制技术研究

PDM系统批量数据导入方法

世界上最小的单门逻辑控制器件集成电路

波长可调谐DFB激光器及其进展

三相全桥单芯片变换器集成电路TPD4104K

CCD图像传感器在军用武器装备中的应用

厚膜HIC共晶焊工艺研究

金锡焊料低温焊料焊工艺控制

用硅作系统级封装的衬底基片的进展

LTCC版图数据输出与CAM制作

集成电路(IC)中电阻的设计

一种高精度反激式DC/DC电源的设计

电气测试中地线干扰及接地设计

AT89S51的串行编程及其对串行EEPROM的读写

正交试验法在LTCC丝网印刷中的应用

电动机适用的驱动集成电路

产品数据管理系统的应用

企业成功实施ERP系统的关键因素

集成电路范文2

ADP5041和ADP5040在一个20引脚LFCSP小型封装中集成了一个高效率、3MHz、1.2A降压调节器和两个300mALDO(低压差调节器),以便满足业界对更高功率密度的需求。ADP5041调节器片内集成看门狗定时器,能够监控处理器系统中的代码执行完整度,如果它在预设的超时周期内未能选通,则会复位处理器,因而能够实现更高的可靠性。ADP5041还具有一个高精度(整个温度范围内为±1.5%)复位发生器,可以从外部对其进行编程以监控低压电源轨。此外它还提供丰富的订购选项,可以满足多重复位和看门狗时序要求。

ADP5041具有一个特殊电路,它能检测WDI引脚上的看门狗刷新输入端施加的三态条件,WDI引脚通常由处理器/DSP输出端口控制。当处理器将此端口设为三态模式时,看门狗刷新定时器禁用,防止看门狗复位处理器。当器件支持处理器/DSP休眠工作模式时,此特性非常重要,此时内核禁用,看门狗定时器无法刷新。

ADI

电话:800-810-1742

省略

高性能的WiFi接入点前端模块

AFEM-S105模块采用3.2mm×3.2mm×0.6mm的小型包装,集成了功率放大器、定向耦合器和SPDT天线开关。功率放大器已针对IEEE802.11a/nWiFi调制器进行了优化,以帮助模块简化频率范围在5.15~5.85GHz之间的移动和固定无线数据应用的设计。

前端模块集成应用了多种高性能技术,可减小PCB基板尺寸,并简化设计。AFEM-S105模块利用该公司的专有0.25μmGaAs增强模式pHEMT工艺技术,可在各种不同的电压和温度范围获得强劲的RF性能,并且低电流消耗。该模块在提供线性功率效率的同时表现出了平坦增益和良好的匹配度,可满足严格802.11a/n屏蔽条件的要求。

AvagoTechnologies

电话:0755-8207-2628省略

双通道18位电流输出SPIDAC

高性能双通道、18位、电流输出数模转换器(DAC)LTC2758。该器件提供准确的18位DC规格,包括随着温度变化的±1LSB(最大值)INL和DNL。此外,LTC2758还具有2.1μs的快速稳定时间和很低的0.4nV・s(3V)、2nV・s(5V)干扰脉冲,从而有可能产生更高的频率、噪声更低的输出波形。LTC2758的SoftSpanTM特性允许输出6个达±10V的独特单极性和双极性输出范围。这些输出电压范围包括两个单极性范围(0~5V、0~10V)和4个双极性范围(±10V、±5V、±2.5V、-2.5~+7.省略

省略

集成输入耦合电容的单声道、3.2WD类放大器

内置电容的MAX98314与外置输入电容的标准D类放大器相比,具有更好的电压独立性和匹配度。该款D类放大 器具有优异的线性指标,有效提高了系统的电源抑制比(PSRR),改善低频音效。该款3.2W放大器能够以D类放大器的效率提供AB类放大器的音频性能。高效率和低静态电流可有效延长电池使用寿命,降低方案功耗。器件采用低噪底和咔嗒/噼噗声抑制技术,有效消除任何类型噪声,改善系统音质。MAX98314是Maxim针对提高移动产品音质的众多音频方案中的重要成员。其内置输入电容,可实现1mm×1mm的超小方案尺寸,节省至少25%的电路板空间。

Maxim

电话:010-6211-5199

省略

支持802.11ac无线路由器的WiFiSoC芯片

联发科

省略

PCIe时钟发生器和时钟缓冲器产品组合

产品组合包括现用Si5214x时钟发生器和Si5315x时钟缓冲器,此两款产品针对功耗和成本敏感型PCIe应用;同时还包括针对FPGA和SoC设计应用的Si5335网络定制时钟发生器/缓冲器,这些设计要求支持多种差分时钟格式,同时还需符合PCIe标准。

Si5214x时钟发生器和Si5315x时钟缓冲器产品系列有2-9路的时钟输出。新型PCIe时钟发生器和缓冲器的电源效率为其他时钟方案的2倍,更低功耗有助于减少散热、减少额外冷却组件和电源稳压器的需要。

Si5335时钟发生器/缓冲器IC是容易定制的时钟解决方案,特别针对PCIe和基于FPGA应用所面临的定时挑战。其输出可配置多达4个输出频率,范围为1~350MHz任意组合。单一零件型号可以最多指定3个唯一的器件配置,因此Si5335可以代替3个独立时钟发生器或缓冲器,从而允许开发人员在多个设计中重复使用定制Si5335器件。

SiliconLaboratories电话:021-6237-2233省略

具有两大功能的双核陀螺仪

双核陀螺仪L3G4IS在4mm×4mm×1mm封装内整合为两个针对不同功能优化的独立输出通道,同时可处理动作手势识别和光学图像稳定功能,为手机相机实现更加锐利清晰的

STMicroelectronics

电话:010-5984-6288

省略

无线连接解决方案组合

SimpleLink系列包括自成一体的无线处理器,充分满足任何嵌入式系统的便捷集成需求。新系列中最重要的解决方案当属全新的SimpleLinkWiFiCC3000,这是一款可率先推进全球物联网发展的易于实施的Wi-Fi解决方 案。SimpleLinkWi-FiCC3000是一款自成一体的802.11网络处理器,适合向任何嵌入式应用便捷添加因特网连接功能。

其他特性:自成一体的802.11网络处理器;片上TCP、UDP和IP;自成一体的RF认证模块;支持TIFirstTimeConfig技术;业经验证的高可靠解决方案支持同类最大覆盖范围;基于TIMSP430FRAMMCU的交钥匙开发套件,能够实现与TIMCU系列的向前兼容性。

TexasInstruments

电话:800-820-8682

省略

面向超级本的单芯片触摸屏控制器

Gen4TrueTouch控制器CY8CTMA1036面向快速发展的平板电脑和超极本市场。该器件具有65个感应I/O,可让最大12英寸的触摸屏实现完美触摸屏性能。与较小屏幕的Gen4器件一样,该款新器件具有多方面的优异性能,包括极佳的信噪比(SNR)以及在各种噪声源(触摸屏设计面临的最大挑战)存在情况下仍可实现的优异性能。此外,Gen4还具有极低的有效功耗,优异的跟踪精确度以及极快的刷新率。它可为包括Win8和Android在内的各大主要移动操作系统提供全面支持。

CY8CTMA1036采用32位ARMCortex内核,具有65个感应I/O通道,能够支持多达1036个屏上节点,这比任何其他单芯片解决方案要多出35%。更多的感应通道对更高精确度、线性度来说必不可少,另外还可支持更小的手指并解决多个手指聚集在一起时出现的问题。

CypressSemiconductor

电话:021-6391-5233

省略

基于ARMCortex-M3内核的32位RISC微控制器

富士通半导体推出第四波基于ARMCortex-M3处理器内核的32位RISC微控制器的FM3系列新产品,共有210款不同型号。新产品包括高性能产品组、基本产品组、低功率产品组和超低功耗产品组4个类别,高性能产品组共有64款产品,包括MB9B510R/410/310/110系列、MB9BF516RPMC以及其他产品;基本产品组共有12款产品,包括MB9A310K/110系列、MB9AF312KMPC以及其他产品;低功率产品组共有108款产品,包括MB9AB40N/A40/340/140系列、MB9AFB44NPMC以及其他产品;超低功耗产品组共有26款产品,包括MB9AA30N/130系列、MB9AFA32NPMC以及其他产品。

FM3系列产品整合了Cortex-M3内核和专为FR微控制器开发的周边外设,加入64款高性能组产品、12款基本组产品、108款低功率组产品和26款超低功耗组产品后,使全系列产品多达370款。

引入新的低功率组产品后,富士通半导体全系列产品达到4组,可提供适合各种应用的完整FM3家族产品线。高性能产品组特别针对处理器密集型系统控制器和应用导向界面所设计,具有CAN和USB2.0功能;而基本产品组则专为单一电机变频控制而设计开发。新引入的低功率组产品更针对广泛的电池供电类移动产品、使用LCD技术的数字娱乐设备以及家用电器和保健设备提供优化,满足了市场上对低功耗产品的需求。而超低功耗组产品则可应用于使用各类传感器的仪表机械及电池供电应用。

富士通微电子(上海)有限公司

电话:021-6146-3688省略

耐辐射型FPGA器件

耐辐射型(radiationtolerant)RTProASIC3FPGA产品系列现可提供陶瓷四方扁平封装(CQFP)产品。CQFP封装符合经过时间考验与飞行验证的电路板和组装技术要求。此外,陶瓷可耐受极端的操作温度,使其成为要求严苛的航空航天应用理想材料。

RTProASIC3FPGA是同类器件中的首款产品,可为航空航天硬件设计人员提供耐辐射、可编程、非易失性逻辑的集成解决方案。该器件适用于需要以高达350MHz频率运行和高达300万个 系统门的低功率航空航天应用。这一器件可工作在低功耗应用的1.2V核心电压和性能驱动设计的1.5V核心电压之间。

RTProASIC3FPGA基于安全的闪存技术,可避免受到辐射引起的破坏性配置干扰,并同时消除了额外的程序代码储存需求。易于重新编程的FPGA能够加快实现原型设计与设计验证功能,以简化产品开发工作。

Microsemi

电话:021-6489-8388

省略

四合一ZigBee微控制器单芯片

Marvell88MZ100ZigBee微控制器单芯片是一款集成多种功能的SoC,适用于家庭自动化和LED照明控制应用,这样的应用以前需要4个单独的芯片才能实现。88MZ100ZigBee微控制器SoC中包括:经过认证的ZigBeePro协议栈和IEEE802.15.4-2003/2006收发器;以32MHz或64MHz运行的32位ARMCortex-M3微控制器,该微控制器采用Marvell成熟的外设知识产权和多达31个GPIO,能实现广泛的微控制器应用;内置DC/DC转换器。该转换器可直接接受2V至3.6V的电池输入;封装内有512KB串行闪存,该闪存具有至ARMCortex-M3的QSPI接口。

88MZ100以经济实惠的价格提供高性能、低功耗和广泛的微控制器外设,为家庭自动化和LED照明控制应用带来了无与伦比的优势,使原始设备制造商(OEMs)和原始设计制造商(ODMs)能方便、快速和富有成本效益地向市场推出新的、创新性产品,以满足家庭自动化和LED照明控制应用这个快速增长的市场的需求。

Marvell

省略

2Mb串口非易失性F-RAM存储器

世界领先的低功耗铁电存储器(F-RAM)和集成半导体产品开发商及供应商RamtronInternationalCorporation(简称Ramtron)宣布推出2Mb高性能串口F-RAM器件FM25V20是Ramtron公司V系列F-RAM存储器中的一员。FM25V20具有快速访问、无延迟(NoDelay)写入、几乎无限的读/写次数及低功耗特性。这款F-RAM器件是2Mb串行闪存和串行EEPROM存储器的普适型(drop-in)替代产品,其应用范围广泛,包括工业控制、计量、医疗、军事、游戏及计算等应用。

FM25V20采用先进的铁电工艺,获得达到100万亿读/写次数的几乎无限的耐用性,且数据能够可靠地保存10年。FM25V20采用快速串行外设接口(SPI),以40MHz频率的全速总线速率工作。其运行消耗功率极低,具有2.0~3.6V的较宽工作电压范围、100μA的典型待机电流及3μA的睡眠模式电流。FM25V20具有串口V系列器件的标准特性,即只读器件ID特性,使得主机能够确定生产商、产品密度和产品版本。FM25V20可在-40℃~+85℃的工业温度范围内工作,并采用符合RoHS标准的“绿色”8脚EIAJSOIC和8脚TDFN封装供货。

Ramtron

省略

配备嵌入式旋变解码器的微控制器

32位微控制器(MCU)V850E2/PJ4-E采用片上旋变解码器,可提高汽车控制系统的性能并降低其系统成本,其中包括HEV/EV的电机控制及其他汽车应用等。

这款全新MCU是从瑞萨P系列MCU衍生而来,属于32位V850RISCMCU家族。它采用瑞萨V850E2CPU内核,可确保极佳的实时工作性能,并配备了用于电机控制的丰富的外设功能。瑞萨P系列MCU被广泛用作面向汽车应用的电机控制系统解决方案。结合P系列的双核锁步和片上旋变解码器,V850E2/PJ4-E微控制器完全符合ISO26262安全标准,可轻松降低系统成本。

混合动力电动汽车(HEV)和电动汽车(EV)正在迅速发展,以通过削减汽车的二氧化碳排放量,应对全球气候变暖。对驱动电机的控制功能进行改进,是确保HEV/EV环境绩效的一项关键技术。V850E2/PJ4-EMCU的推出可以满足包括降低系统成本在内的市场需求,为进一步推动环保型HEV/EV的 发展和符合ISO26262安全规定提供完美支持。

V850E2/PJ4-EMCU的主要特性:配备旋变解码器、A/D转换器和三相PWM定时器――适用于电机控制;双核锁步支持满足功能安全要求;大容量存储器、高速CPU以及很多通信外设。

这款全新微控制器可工作于-40℃~+125℃的环境条件下。它还包含一个稳压电路,可借助外部晶体管在5V实现单电源工作。

RenesasTechnology

电话:021-6472-1001

省略

电力线通信单芯片

单芯片解决方案QCA6410将为新一代的HomePlug电力线通信(PLC)设备带来鼎级的性能。QCA6410的设计不仅为家用市场注入更高性能的电力线通信功能,相较于目前的解决方案,成本更低,体积也更小。QCA6410支持IEEE1901/HomePlugAV电力线网络协议,具备200Mb/s的网络性能。QCA6410可应用于各种电力线通信产品,包括独立式的适配器和扩展器,以及嵌入式电力线通信的电视和低成本IP机顶盒等。

QCA6410具有极高的整合度,在单一芯片内同时整合了内存、10/100以太网PHY、模拟前端/线路驱动器和电源管理单元。采用QCA6410芯片的适配器整体耗电量不到1.8W,可帮助客户开发出业界最小巧的适配器设计,并能实现超低功耗。

QualcommAtheros

qca.省略

针对下一代单相计量与能源监测应用的微控制器

MSP430F673x/F672x系列超低功耗16位微控制器可在电能计量和能源监测应用中为开发人员提供更大的灵活性。借助单个可支持实时时钟(RTC)的备份微控制器、主电源提供的电源管理以及多达2个独立的辅助电源,这些器件可保证实现无中断型操作。另外,凭借不断提升的采样速率和多种操作条件下(如电流范围和时间)的出色线性度,24款F673x/F672x器件还可实现更加精准的测量,从而打造出一套稳定的系统解决方案。这些器件是TI首批具有集成型24位ΣΔ转换器及单个320段LCD控制器的6xx系列微控制器。与以前的160段解决方案相比,开发人员能够充分利用具有更多可编程性的增强型分段式LCD,在显示器上显示更多的字符(特别适合亚洲的语言文字)。F673x/F672x器件专为超低功耗模式而设计,可确保LCD显示器在工作期间消耗尽可能少的功耗。

TexasInstruments

电话:800-820-8682省略

连接器Connector

适用于医疗系统的连接器和插座

MediSpec混合圆形(HybridCircular)MT电缆和插座系统是一款集成式光学和电气解决方案,能够减少医疗设备和器材中所需的连接器数目。该多功能连接器使用Molex圆形MT光纤连接器和插座技术,提供三种可配置的MT套管兼容端口,用于铜或光纤媒介组合,承载功率、低速铜信号传导、光学数据或视频信号。这项集成技术可以并入定制解决方案中,以满足终端用户的特定需求。

MediSpec混合圆形MT系统为医疗系统设计提供了更精简的方法,并且是各种应用的理想选择,这些应用包括机器人手术、神经外科、整形外科、诊断成像、光学相干断层成像(opticalcoherencetomography,OCT)、引导/照明/导航、介入能量激光器和医疗影像储传(PictureArchivalCommunications)。

Molex

省略

元器件与组件

Components&Subassemblies

0.37mm超平封装肖特基整流器

DFN1608D-2(SOD1608)是一款面向移动设备市场的新一代低VF肖特基整流器,塑料封装的典型厚度仅为0.37mm,尺寸为1.6mm×0.8mm,是市场上支持最高1.5A电流的最小器件。DFN1608D-2共包括6个型号的肖特基势垒整流器:其中三款为针对极低正向电压的20V型号,另外三款为针对极低反向电流的40V型号。平均正向电流范围为0.5~1.5A。

这款产品不但可以显著节省占用空间,而且由于在封装底部采用了一个大散热器,还具有领先的功率特性,其极低的正向电压可以降低功耗。

DFN1608D-2系列整流器对设备制造商也具有极大的吸引力,由于采用了独特的侧焊盘,可有效防止氧化,从而保障了侧面的可焊性。不同于焊接触点隐藏于封装下方的其他无引脚解决方案,这种侧焊盘有助于防止封装在PCB板上发生倾斜,从而进一步提高PCB板的平整性,最大限度地提高堆叠密度。此外,从侧面焊接封装也便于对触点进行目视检查。由于不再需要利用昂贵、复杂的X光设备检查焊接触点,DFN1608D-2还提高了生产过程的成本效率。

NXPSemiconductors

电话:010-6517-2288scn.省略

低损耗碳化硅(SiC)肖特基势垒二极管

肖特基势垒二极管(SBD)RJS6005TDPP采用了碳化硅材料,适用于空调、通信基站和太阳能阵列等大功率电子系统。与瑞萨采用传统硅(Si)的现有功率器件相比,该器件功耗大约降低了40%。

RJS6005TDPP的主要特性:更快的转换速度,其损耗较之现有产品降低了40%;低压工作,这款SiC-SBD的额定电压(正向降压,VF)仅1.5V,低于现有的硅快速触发二极管产品的额定电压。此外,该SiC-SBD的温度依赖性较小,可确保获得稳定的正向电压。

RJS6005TDPPSiC-SBD应用相当于工业标准的TO-220封装,并可实现引脚兼容。这意味着RJS6005TDPPSiCSBD可轻松地用于替代现有印刷电路板上传统的硅二极管。

RenesasTechnology

电话:021-6472-1001

省略

光电器件Optoelectronics

ASMT-FJ70器件使用铝铟镓磷化物(AlInGaP)材料技术并具有12°视角,而ASMT-FG70器件使用氮化铟镓(InGaN)材料技术并提供14°视角。这两种技术的发光效率极高,可在宽范围驱动电流条件下实现高光输出。窄视角提供自动对焦辅助闪光功能所需的长距离照明和窄角度光束模式。

ASMT-Fx70LED采用微小、环保的3.6mm×3.2mm×3.4mm表面贴装型封装,有助于满足更轻薄数码相机的市场需求。此款LED采用透明的非扩散式透镜,可通过一种狭窄的辐射模式产生高亮度,为精确自动对焦功能提供稳定、一致的光学性能。ASMT-FJ70器件为橙色,ASMT-FG70器件则是业界首个这种尺寸的绿色辅助闪光LED。

AvagoTechnologies

电话:0755-8207-2628

省略

电源PowerSources

高电能测量精度模拟前端

CS548X/9X非常适用于如今的智能公用事业仪表应用和不断成长的新一代智能能源产品,可为消费者和企业提供更为精确的电能使用信息。在4000:1动态范围内,CS548X/9X产品系列有源和无功电能的测量精度都为0.1%。一个预编程电力计算引擎无须手动编程,同时没有安全顾虑。两秒的校准时间是很多竞争性SOC产品的10倍,可加速产 品周期并降低总体成本。

该产品使用了CirrusLogic的EXLCore技术。这是一个高度创新的数字架构,对于该IC产品系列实现高性能电能计算和计量特性至关重要。这款专用的AFE产品为设计人员提供行业标准的计量解决方案,不仅可在所有应用下工作,还允许设计人员灵活选择最适合设计环境的MCU产品。

CirrusLogic

电话:0755-8379-7561

省略

同步MOSFET控制器

这款采用SO8封装的MOSFET控制器操作电压范围宽广,介于5~25V之间,可由适配器的19V供电轨直接供电,并且在瞬态过压状态下,保证器件正常工作。该器件拥有180V的MOSFET漏极感应功能,有助设计师减少对外部钳位电路的需求,并能大幅减少配件数量和节省空间。ZXGD3104N8的额定电压为25V,适用于90W及以上的笔记本和便携式电脑的电源设计。这款新品能取代返驰式转换器内效率较低的肖特基二极管,并通过减少多达70%的整流器损耗,有效提升最高达3.5%的电源效率。

DiodesIncorporated

http:省略

紧凑型车用栅极驱动

在IN引脚的低输入状态下,OUTL被下拉至VEE,帮助实现IGBT低限度和广泛选择下的负栅极驱动。内部击穿预防逻辑控制OUTH和OUTL,以避免同时传导,从而优化纯时滞。另外,新器件通过一个LPM输入引脚来激活低电流消耗模式,以更加缓慢的操作延迟来减少IC消耗。

InternationalRectifier

电话:010-6803-8195

省略

过压保护控制器

LTC4366在9V至高于500V的电压范围内工作,其浮动浪涌抑制器采用可调浮动拓扑,能不受LTC4366内部电路电压额定值的影响,以非常高的电压工作。两个内部并联稳压器与外部降压电阻器一起产生LTC4366的内部电源轨。最高工作电压由外部电阻器和MOSFET的击穿电压决定。

LTC4366监视输出电压,并通过控制一个N沟道MOSFET的栅极,对输入电源过压做出快速响应。LTC4366在过压瞬变期间将输出调节至一个用户定义的电压,从而允许负载在MOSFET两端承受过载的情况下保持运作状态。如果故障持续,一个可调故障定时器可确保安全的停机。

LinearTechnology

电话:00852-2428-0303

Email:info@linear.省略

省略

2.25MHz降压型DC/DC转换器

LT3692A的H级版本是一个单片、 双输出降压型开关稳压器,每通道提供高达3.5A的连续输出电流。LT3692A在3~36V的VIN范围内工作,瞬态保护达60V,从而非常适用于汽车应用中的负载突降和冷车发动情况。每个通道独立的输入、停机、反馈、软启动、限流和比较器引脚简化了复杂的电源跟踪/排序要求。LT3692A的开关频率是用户可编程的,范围为250kHz~2.省略

省略

手持设备电池电量监视芯片

STC3105集高测量精度和低功耗于一身,能够让电池电力更持久,适用于手机、多媒体播放器、数码相机等尺寸紧凑的便携电子产品。其集成一个可编 程低电报警输出,当电池电量低于某一个预设电量阈值时,芯片就会向系统发送报警信号。当电池接近没电时,这种嵌入式智能监视功能可启动适合的电源管理操作,而无须微控制器介入,让微控制器在睡眠模式的时间更长,从而能够降低系统总体功耗。

新款电池监视芯片采用开路电压和库伦计数器方法估算电池容量,监视并记录充放电状态。精确预测电池电量状态和剩余电量可用时间可避免不必要的充电过程,有助于便携设备延长电池充电后的使用时间和使用寿命。STC3105的工作电流非常低,正常只需60μA,从而进一步延长了电池的使用时间和使用寿命。省电模式可降低芯片的电流消耗,在最终产品内实现低待机功耗。

STMicroelectronics

电话:010-5984-6288

省略

适用于平板电脑的输入锂离子电池充电器

该电池充电器IC不仅能够支持更高单元容量的电池,而且还可提升平板电脑、电子阅读器以及智能电话的电池充电电流。该款高度集成的单节锂离子电池充电器提供双输入,支持从USB端口或更高功率输入电源。主要特性:集成型FET可支持来自IN输入高达2.5A的充电电流(10.5W)以及来自USB输入1.5A的电流;双源输入、AC适配器及USB2.0/3.0兼容性可为设计应用提供高度的灵活性;USB输入的D+/D-型自动适配器可简化系统设计,并减少外部组件数;具有10.5V过压保护的20V输入,可支持低成本的非稳压型适配器和较差的USB电源;集成型电源路径使系统能从深度放电或无电池供电的运行条件下启动;符合BC1.2标准、支持JEITA充电和热稳压保护,有助于实现安全精确的电池工作状态。

支持集成型MOSFET的100V同步降压稳压器

LM5017以及将于今年4月份提供的300mALM5018与100mALM5019能够以高达100V的输入电压实现直接负载点稳压,无须外部瞬态电压抑制器或钳位便可为高电压应用实现可靠运行。集成型MOSFET无须空转(freewheeling)肖特基二极管,可提高效率。引脚兼容型4mm×4mmLLP封装可提供一种可扩展解决方案,能够充分满足各种电源需求。

其他特性:COT控制架构支持快速瞬态响应,无须环路补偿,可降低成本,简化设计;集成型高侧及低侧N通道MOSFET可提高效率,无须外部肖特基二极管;集成型高压启动稳压器可为IC内部工作以及集成型栅极驱动器提供偏置电源。

TexasInstruments

电话:800-820-8682

省略

测试和测量

Test&Measurement

适用于TD-LTE和波束赋形的

通道射频测量方案

安捷伦科技公司推出N7109A多通道信号分析仪增强功能,包括LTE天线波束赋形和LTE-Advanced载波聚合,可满足新兴多通道LTE、TD-LTE、LTE-Advanced和MIMO射频测量的要求。凭借N7109A在WiMAX和LTEMIMO测量方面的优势,新的增强性能可帮助设计人员始终领先于快速演进的LTE和LTE-Advanced通信标准。

通过使用最新的89600VSA软件版本15,N7109A信号分析仪具备以下功

能:在单个主机中最多具有8个射频通道,可进行相位同步测量;为每个调谐模块提供独立的中心频率调谐;校正向导,该逐步向导集成了Agilent89600VSA软件,可对被测器件进行精确的幅度和相位测量。

AgilentTechnologies

电话:800-810-0189

省略

软件/开发工具

Software&tools

全中文CFD软件

SolidWorksFlowSimulation软件是目前全球首款内核全中文的计算流体力学(CFD)工具。通过使用该工具,设计人员能够轻松快速地仿真对成功设计至关重要的流体流动、传热和流体作用力。SolidWorksFlowSimulation完全集成到SolidWorks3DCAD软件中,从而使每个设计人员均能利用流体流动仿真的优势。此外,设计人员也可以仿真如阀门内的各种液体流动来进行优化(压差、速度和湍流)、测试生物医学设备、管筒和压缩气体中的流动,或者监控车辆周围的气流,以及一系列其他应用,可广泛运用于各个行业,例如汽车、航空、航天、国防、生命科学、机械和高科技。

SolidWorksFlowSimulation同时额外提供两个专业流体增值模块――HVAC模块和电子冷却模块,共同助力仿真设计。

DassaultSystemsSolidWorks

省略

用于CaviumOCTEON系列多核处理器的裸机性能工具

CaviumOCTEON多核处理器支持大量通用物理内核,其中每一个内核都可运行Linux或CaviumSimpleExecutive等“裸机平台”,这样处理器内核就能在“运行至完成”模式中工作,不仅企业的管理费用最少,而且处理带宽最大。EneaBMP工具可通过图形方式帮助开发人员深入认识系统特性与性能,而不增加额外的处理费用,更轻松地实现了裸机应用优化。由此即可得到功能更精细、性能更优化和可靠的应用程序。

EneaBMP工具包括一个基于Eclipse的主机工具套件EneaOptima、一组用于评测和记录采集数据的运行时间库与,以及一个IPC(进程间通信)机制EneaLINX,可将收集的数据传送到Optima主机工具或外部文件,以便以后分析。EneaBMP工具提供三种类型的服务:软件评测、应用评测和记录/跟踪。

Enea的BMP工具目前支持OCTEONPlus系列多核处理器,不久还将支持OCTEONII。

Enea

电话:021-6334-3406

省略

双电机控制套件

该款电机控制套件由美高森美公司(MicrosemiCorporation)和TRINAMIC共同推出,可协助设计人员降低产品开发成本并加速产品上市时间。这套解决方案包括Microsemi的SmartFusion评估套件和TRINAMIC的电机控制子板(daughterboard)套件。

SmartFusion可定制化系统单芯片(cSoC)结合了三个成功编译复杂电机控制算法的重要特性:嵌入式微控制器、可编程模拟模块和现场可编程门阵列(FPGA)。这样的整合方式可提供一个理想平台,以分隔软件和硬件架构需求。

SmartFusion的嵌入式ARMCortex-M3微控制器可作为系统层任务管理、算法执行和系统连接性之用。板上可编程模拟模块提供电压、电流和温度监控的完整感知与控制功能。闪存式FPGA逻辑用来执行硬件加速与数学协同处理。此外,运算/周期密集的算法程序(routine)可在FPGA内由硬件实现,能够非常快速、有效地执行。

除了SmartFusion评估套件和TRINAMIC的电机控制子板套件外,该套件还包括:一个1.8°步进角度的步进电机;一个具备霍尔传感器的无刷DC电机;一个配有万用插座的便携台式电源供应器(24V/1A);供下载的实用的设计范例。

Microsemi

电话:021-6489-8388

省略

便于管理互通性装置的ProfileS

ProfileS由基于IP的物理安防产品的标准化组织ONVIF,作为profile系列中的排头兵,它可以帮助终端用户和系统设计师用于确定物理安防解决方案的互通性部件。

ProfileS描述了ONVIF兼容视频管理系统和装置共享的共用功能,这些系统和装置包括通过IP网络发送、配置、请求或控制媒体数据流的IP摄影机或编码器。profile包括特定功能,例如摇摄、倾斜、变焦控制、音频流和中继输出。

profiles的让终端用户可以更加方便地识别出profile支持的功能,而无须确定ONVIF技术规格不同版本之间的兼容性。例如,用户不再需要知道是否ONVIF2.0中的特定装置与符合ONVIF1.0的客户端是否兼容,或者与未来的更新版本的技术规格之间是否兼容。用户和系统设计师将可以选择适当的profile,从而提供适合他们需求的设备和软件之间特定功能水平的互通性。

ONVIF

省略

DLPLightCrafter开发平台

DLPLightCrafter是一个面向开发人员的先进的平台,它可以帮助开发人员将空间光控功能应用于工业、医疗、安全和科学仪器等市场中的多种应用和产品中。这一评估用模块使开发人员可以通过强大的组件,以全新的、独特的方式应用可靠的DLP技术。DLPLightCrafter将经过实践检验的组件与增强的处理速度和功能相结合,并将其紧凑地设计在一起。开发人员可以通过DLPLightCrafter的基于USB的API和易用的图形用户界面,轻而易举地创建、存储和显示高速图形。

集成电路范文3

【关键词】集成电路;应用

一、引言

集成电路技术作为微电子技术的一个重要门类和组成部分,其技术发展遵循着著名的摩尔定律,仅仅需要1.5年的时间就能够将相同性能的电路压缩到原有体积的一半,而进40年来,集成电路的体积几乎缩小了30000倍。当前,顶尖的集成电路研发技术掌握在少数几个发达国家的研究机构手中,而与集成电路息息相关的IC产业已经被高度整合,从设计,到制造,到封装再到测试,已经形成了一条完整的产业链,集成电路的广泛应用不断地推动着科技的进步,也不断地改变着人类的生活。本文将讨论集成电路的原理,分析集成电路的发展,最后讨论集成电路的应用。

二、集成电路概述

微电子学是一种结合了电子学以及材料物理学的综合学科,该学科的主要研究认为是将半导体材料进行适当处理,制造出微型电子电路、微型电子系统以满足各种应用需要。基于微电子技术发展起来的集成电路技术主要囊括了材料技术、电路技术、集成封装技术等几个门类,主要通过将晶体管器件、电阻器件、电容器件等按照电路原理高度集成在一起,从而实现电路的某种功能,从集成电路输入输出关系来看,集成电路一般可以分为模拟集成电路和数字集成电路两种。

三、常见集成电路举例

1.74LS138译码器

74LS139集成电路是常见的两个2线-4线译码器,共有54/74S139和54/74LS139两种线路结构型式,当选通端(G1)为高电平,可将地址端(A、B)的二进制编码在一个对应的输出端以低电平译出。若将选通端(G1)作为数据输入端时,74LS139还可作数据分配器。A、B译码地址输入端,高电平触发;芯片的G1、G2为选通端,低电平触发有效;Y0~Y3为译码输出端。

2.74ls244缓冲器

74LS244是一种3态8位缓冲器,一般用作总线驱动器。74LS244芯片没有锁存的功能,地址锁存器就是一个暂存器,74LS244根据控制信号的状态,将总线上地址代码暂存起来。8086/8088数据和地址总线采用分时复用操作方法,即用同一总线既传输数据又传输地址。

当微处理器与存储器交换信号时,首先由CPU发出存储器地址,同时发出允许锁存信号ALE给锁存器,当锁存器接到该信号后将地址/数据总线上的地址锁存在总线上,随后才能传输数据。

3.555定时器

555定时器是一种模拟和数字功能相结合的中规模集成器件,是最常见的定时器集成电路。一般用双极性工艺制作的称为555,用CMOS工艺制作的称为7555,除单定时器外,还有对应的双定时器556/7556。555定时器的电源电压范围宽,可在4.5V~16V工作,7555可在3~18V工作,输出驱动电流约为200mA,因而其输出可与TTL、CMOS或者模拟电路电平兼容。一般来说,555定时器的功能实现由比较器决定。两个比较器的输出电压控制RS触发器和放电管的状态。在电源与地之间加上电压,当5脚悬空时,则电压比较器C1的同相输入端的电压为2VCC/3,C2的反相输入端的电压为VCC/3。若触发输入端TR的电压小于VCC/3,则比较器C2的输出为0,可使RS触发器置1,使输出端OUT=1。如果阈值输入端TH的电压大于2VCC/3,同时TR端的电压大于VCC/3,则C1的输出为0,C2的输出为1,可将RS触发器置0,使输出为0电平。

555的应用:

(1)构成施密特触发器,用于TTL系统的接口,整形电路等;

(2)构成多谐振荡器,组成信号产生电路,振荡周期:T=0.7(R1+2R2)C;

(3)构成单稳态触发器,用于定时延时整形及一些定时开关中。

555应用电路采用以上三种方式中的1种或多种组合起来可以组成各种实用的电子电路,如定时器、分频器、脉冲信号发生器、元件参数和电路检测电路、玩具游戏机电路、音响告警电路、电源交换电路、频率变换电路、自动控制电路等。

四、集成电路发展

电路工艺是集成电路技术中最为基础的部分,主要涉及到扩散技术、氧化技术、光刻腐蚀技术以及薄膜再生技术等方面。上世纪六十年代末,微电子研究人员充分研究了氧化二硅系统的电性质,完成了界面物理研究的理论储备,紧接着科学家通过控制钠离子玷污的手法,配合使用高纯度的材料,成功实现了MOS集成电路的生产,由于MOS电路在工艺上易于控制、功耗很低、集成度高、可裁剪性强等优点,当前半导体工业中,绝大多数的集成电路有使用MOS或者CMOS结构。

制版技术方面的关键技术的光刻技术,光刻技术最初被使用在照相术上面,上世纪五十年代末被应用到半导体技术中,仙童公司巧妙地使用光刻技术实现了集成电路的图形结构。使用光刻技术制造的器件相互连接时可以不使用手工焊接技术,而是采用真空金属蒸发技术,使用光刻技术实现电路的绘制。近年来,随着光刻技术的发展,光刻技术的加工精度已经达到超深亚微米数量级。

电路设计方面。1971年,Intel公司第一台微处理器的发明是集成电路技术对人类做出的最大贡献之一,微处理器的发明开辟了计算机时代的新纪元。微处理器的发明带动了以CMOS为基础的超大规模集成电路系统的发展,也带动了智能化电子产品的飞速发展,是信息技术的基础原件和实物载体。近年来,随着集成电路技术的发展,科学家将量子隧穿效应技术应用到集成电路领域,推动了信息化社会的进程。

工艺材料方面。随着材料科学的不断发展,很多新材料技术和新物力技术不断地被应用到集成电路领域当中,铁电存储器和磁阻随机存储器就是其中的代表。当前集成电路技术的发展突显出一些新的特征,主要表现在从一维向多维发展,向材料技术、微电子技术、器件技术以及物理技术提出了更高的要求,集成电路的发展也正因为如此遭遇瓶颈,物理规律的限制、材料科学的限制、技术手法的限制。不过与此同时,宽禁带的SiC、GaN以及AIN等材料击穿电压值高、禁带值高、抗辐射性能好,应经被广泛应用,所制造器件在高频工作状态、高温状态以及大功率状态下性能优异,是集成电路的发展方向。

五、结语

集成电路是上世纪人类社会最伟大的发明之一,集成电路的广泛应用不断地推动着科技的进步,也不断地改变着人类的生活。本文系统分析了集成电路的原理,列举了几种常见集成电路,并对集成电路的发展进行了讨论和研究。

参考文献

[1]张允炆.半导体技术[M].哈尔滨工业大学出版社,2004.

[2]李祁镇.集成电路概述[M].北京:清华大学出版社,2003.

[3]韩周子.数字集成电路概述[M].西安:西安电子科技大学出版社,2004.

[4]方寒.浅谈集成电路的发展[M].中国科技纵横,2003.

集成电路范文4

一、独创性原则进入集成电路保护领域传统知识产权法可划分为著作权法与工业产权

法两大块,也可划分为著作权法、专利法和商标法三部分。它们分别根据所保护对象的不同确立了适合于自身的基本法律原则。著作权法要求被保护的作品具备独创性。具体地讲,著作权法对其所保护的作品并不要求创造高度,不论作品的质量高低、也不论在此之前是否有相同作品问世,只要作品是靠作者独立的智力劳动完成,不是抄袭他人之作,即可受到著作权法的保护。正是在这样的原则下文学、艺术和科学作品的创作才得以丰富多彩。相比之下,专利法对其所保护的技术提出了较为严格的新颖性、创造性和实用性等要求。其中创造性则是要求受保护的技术具有一定的创造高度,即不能是普通技术人员从已有技术中可推知的显而易见的结果。由此可知,不具备一定的创造高度的技术,是得不到专利法保护的。

知识产权法的这种分工是与一定的生产力发展水平相适应的。随着技术的发展,尤其进入了信息时代,传统的知识产权法律体系面对某些新兴技术就显得有些不适应。人们通常以为,集成电路作为一种工业产品理所当然地应当受到专利法的保护。但事实上,专利法能够提供给集成电路的保护确实十分有限。由于集成电路本身的特性,使得大多数集成电路产品,有时甚至是最先进的集成电路也不能满足专利法中的创造性要求。

然而集成电路作为信息社会的基石,在当今世界上发挥着与其他产品无与伦比的作用。可以毫不夸张地说,在一切技术领域,乃至日常生活的每一个角落,无不有集成电路的身影。因此集成电路技术成了各国技术竞争的焦点。不少不法厂商采用非法手段窃取他人技术,仿制集成电路大发横财。为维护正常的竞争秩序,集成电路保护成了刻不容缓的问题。由于集成电路产品与专利体系不协调,这迫使人们另辟蹊径。

在制造集成电路产品的过程中,集成电路布图设计起着至关重要的作用。所谓布图设计(1ayout design)是指集成电路芯片中各种元器件的三维配置,美国人称之为掩模作品(maskworks),欧洲人称其为形貌结构(tohography),日本人将它叫作线路布图(circuitlayout)。布图设计可以以掩模图形的方式存在于掩模板(制造集成电路所必须的一种中介物。制造一种集成电路需要载有这种集成电路全套布图设计的掩模板,多时可达十多块)上,也可以以编码的方式存在于计算机中或者磁盘、磁带上。对于制造完毕的集成电路芯片,布图设计是以图形的方式存在于芯片表面和表面下不同深度处。正是这一系列图形的组合构成了相互联系的各种元器件,从而可以实现其电子功能。一个有经验的技术人员只要掌握了全套布图设计,便可十分容易地制造出这种集成电路。现实中一些不法厂商和个人就是靠窃取或倒卖他人布图设计牟取暴利的。所以要保护集成电路产品,必须进一步保护布图设计。而布图设计与集成电路产品间又存在着一一对应关系,即一种布图设计只能生产一种集成电路,故保护了布图设计也就间接地保护了集成电路产品。

1984年,美国在世界率先颁布了保护集成电路法律-《半导体芯片保护法》。该法保护的直接对象不是集成电路产品,而是布图设计(该法中称掩模作品)。考虑到布图设计的某些特点,该法引入了著作权法中的独创性  (origionality)原则。要求受保护的布图设计必须满足独创性条件;这便把著作权法的保护原则引进到技术领域。当然,布图设计毕竟带有技术性,为了保护先进技术,防止将一些司空见惯的技术垄断,仅有独创性要求是不够的。为此,该法又规定受保护的布图设计不能是普通的,平庸的或者为人所熟知的。这实际上是对创造高度的要求,但这里的创造高度比起专利法中的创造性所要求的高度要低得多。这里,独创性与创造性在一定程度上结合到了一起。这是当今知识产权法的又一发展。

在美国之后,  日本于1985年颁布了《半导体集成电路线路布局法》,欧共体于1986年了《关于半导体产品形貌结构法律保护的指令》,世界知识产权组织也于1989年通过了《关于集成电路知识产权保护条约》。到目前为止,已有近20个国家和地区颁布了这方面的法律。值得注意的是,无论是国际组织的条约、指令,还是各国的国内立法均采用了美国式的做法,即采用介于著作权法与专利法之间的一种混合型特别法来保护集成电路。

二、布图设计与著作权客体的比较

各国之所以接受美国的做法,把独创性原则作为保护布图设计的基础,是因为布图设计在许多方面确实与著作权客体有着诸多相似之处。

首先,作为知识产权法所保护的对象,它们都具有无形性。布图设计是集成电路中各种元件的配置方案,其存在方式可有多种。如前所述,当其载体为掩模板或者当其被固化于集成电路芯片之中时,它表现为一系列图形的组合;当其存储于电子计算机或者相应的磁带、磁盘中时,其存在方式为一系列的数字编码。虽然布图设计在不同的载体上有着不同的存在方式,但就其本身而言,它与作品一样是无形的。

其次,布图设计的存在方式也与著作权客体的某些存在方式相同。上面讲到,布图设计可以编码方式存在。普通作品也可以相同的方式存在,尤其是当数字式视听设备普及后,一切音像作品都将以数字编码状态存在,普通的文字作品在输入电子计算机后,也是以数字编码的形式存储于磁带,磁盘或者计算机的存储器中。另一方面,,布图设计要在集成电路芯片中发挥其特定的电子功能,必须以特定的图形方式存在。而这种在三维空间以一定方式组合的一系列图形与著作权法所保护的传统作品的形式相比可说是非常相似的。

第三 也是最为重要的,布图设计与作品都具有可复制性。从布图设计的存在方式可推知,采用复制普通作品的方式可以-十分方便地复制布图设计。对于掩模图形可以采用照像方式翻拍;对于数字编码,也可采用通常的拷贝方法将其转入另一载体。即使是被固化到集成电路芯片上的布图设计,也还可以通过反向工程技术将其复制出来。所谓反向工程(reverseengineering)是指从集成电路成品人手,对芯片进行解剖,分析其功能、设计、工艺等技术特点,从而复制该集成电路布图设计的过程。实践中常用化学方法将芯片逐层剥蚀,再用显微摄影技术将芯片中每一层图形翻拍下来,测出各种图形的横向尺寸和纵向深度,即可复制出全套的布图设计。

由于布图设计具有以上这些与作品相近的特点,故而使得布图设计在保护方式上可以借鉴一些著作权法中的做法,这也是为什么各国都将独创性作为布图设计受保护的条件的原因。但是集成电路毕竟是一种工业产品,因此其布图设计与作品相比还存在着差异,主要表现在下面几个方面:

1.布图设计具有工业实用性。布图设计之所以有价值是因为它可以直接用于制造集成电路。换言之,其价值体现在这种工业应用之中。而普通作品在这一点上与布图设计完全不同。普通作品固然也有其用途,但其使用仅仅是使人获得一定的感观享受,如阅读欣赏等。

2.布图设计的人身属性非常弱。由于布图设计实际上是一种技术性方案,因此就设计本身而言没有任何人身的感情色彩。而普通作品则不然,它往往体现了作者对人生,社会的理解,表现了作者的思想观念和情感,是作者人格的体现。

3.布图设计的表现形式具有非任意性。针对集成电路某些技术参数要求,布图设计往往不得不采用某种特定图形和尺寸。为了提高击穿电压,必须将晶体管图形设计为圆形;为了改善高频特性,应尽可能缩小图形尺寸以减小分布参数的影响。除此以外,布图设计还受到其他技术规范以及材料性能、工艺水平等诸多因素的限制。相比之下,普通作品可以随作者思路在任何领域中驰骋。作者可以信笔挥洒而无需顾虑表现形式上的限制。

三、布图设计权与著作权的比较

布图设计权与著作权内容的相似与不同是由布图设计与作品在特性上的同异所决定的。

著作权包括人身权和财产权,就其人身权而言,一般包括署名权,发表权、修改权,保护作品完整权等。由于布图设计的人身性极弱,故人身权在布图设计权中所占比重也很小,最多只包含一个署名权而已。而其他人身权对布图设计权人来讲并无太大意义,故各国及国际公约均未规定;即使是署名问题,多数国家只是将其与布图设计标记一起作为选择性规范予以规定的。如美国法中规定可以将权利人姓名与权利标记M一同标在掩模或芯片产品上。欧共体指令中亦有类似规定,只是标记为T.在财产权方面,布图设计权人所享有的最重要的权利是复制权,它与著作权中的复制权极为相似。世界知识产权组织的条约规定,未经布图设计权人许可,不得复制受保护的布图设计的全部或者其任何部分。美国法中还具体规定了复制包括光学、电子学或者其他任何方法的复制。这自然也包括了著作权法中所规定的各种复制形式。布图设计与作品之所以在复制权上发生竞合,究其根源还在于二者具有相同的可复制性。复制权是著作财产权中最重要的权能,对布图设计权而言更是如此。布图设计权中其他一切权利都是从复制权中衍生而来。世界知识产权组织条约规定,布图设计权人有权禁止或许可为商业目的进口、销售布图设计  或含有布图设计的集成电路,这里的“进口‘、”销售“行为都是以复制他人布图设计为前提的。至于著作权中的表演、播放等项权利,对布图设计则无从谈起,这也是由于布图设计与作品使用方式不同所致。

在著作权珐中有关于合理使用、法定许可,甚或强制许可等规定。同样,在有关集成电路保护法中也有类似的权利限制性规定。甚至于某些方面的限制比著作权法更为严格,如关于反向工程的规定便是一例。各国法律都承认在合理的限度内实施反向工程不视为侵权。所谓“合理”,包含两个方面:

第一,仅仅为教学、评价,研究布图设计中的概念。技术、或者布图设计中采用的电路,逻辑,组织结构而复制他人布图设计的不视为侵权。这种行为的目的必须是非商业性的,这与著作权法中的合理使用非常相似。第二,将分析、评价结果应用于为销售而制作的具有独创性的布图设计之中的行为也不视为侵权。这一点比著作权法中的合理使用走得更远,依照著作权法这种行为属于侵权行为。之所以要对布图设计权作出这种限制,首先是因为反向工程在集成电路发展中起着重要作用。世界各国的半导体厂商无不利用这种方法了解其他厂商的产品发展状况,以便提高自己产品的技术水平。如果简单适用著作权法的规定,对这一行为严格禁止,将会扼制集成电路技术的进步。其次是由于布图设计的表现形式具有非任意性。在一些特殊情况下,设计方法只有一种或几种,如果对这种唯一的或有限的表达形式的布图设计适用著作权法的规定,予以禁止,这本身就是造成一种事实上的思想垄断,这不仅违背著作权法的宗旨,对公众也是不公平的。所以各国都将此作为一种侵权的例外。除此之外各国法律还引人了专利法中权利用尽。善意侵权等限制性规定。

集成电路范文5

第一章政策目标

第一条通过政策引导,鼓励资金、人才等资源投向软件产业和集成电路产业,进一步促进我国信息产业快速发展,力争到*年使我国软件产业研究开发和生产能力达到或接近国际先进水平,并使我国集成电路产业成为世界主要开发和生产基地之一。

第二条鼓励国内企业充分利用国际、国内两种资源,努力开拓两个市场。经过5到10年的努力,国产软件产品能够满足国内市场大部分需求,并有大量出口;国产集成电路产品能够满足国内市场大部分需求,并有一定数量的出口,同时进一步缩小与发达国家在开发和生产技术上的差距。

第二章投融资政策

第三条多方筹措资金,加大对软件产业的投入。

(一)建立软件产业风险投资机制,鼓励对软件产业的风险投资。由国家扶持,成立风险投资公司,设立风险投资基金。初期国家可安排部分种子资金,同时通过社会定向募股和吸收国内外风险投资基金等方式筹措资金。风险投资公司按风险投资的运作规律,以企业化方式运作和管理,其持有的软件企业股份在该软件企业上市交易的当日即进入市场流通,但风险投资公司为该软件企业发起人的,按有关法律规定办理。

(二)“十五”计划中适当安排一部分预算内基本建设资金,用于软件产业和集成电路产业的基础设施建设和产业化项目。在高等院校、科研院所等科研力量集中的地区,建立若干个国家扶持的软件园区。国家计委、财政部、科技部、信息产业部在安排年度计划时,应从其掌握的科技发展资金中各拿出一部分,用于支持基础软件开发,或作为软件产业的孵化开办资金。

第四条为软件企业在国内外上市融资创造条件。

(一)尽快开辟证券市场创业板。软件企业不分所有制性质,凡符合证券市场创业板上市条件的,应优先予以安排。

(二)对具有良好市场前景及人才优势的软件企业,在资产评估中无形资产占净资产的比例可由投资方自行商定。

(三)支持软件企业到境外上市融资。经审核符合境外上市资格的软件企业,均可允许到境外申请上市筹资。

第三章税收政策

第五条国家鼓励在我国境内开发生产软件产品。对增值税一般纳税人销售其自行开发生产的软件产品,*年前按17%的法定税率征收增值税,对实际税负超过3%部分即征即退,由企业用于研究开发软件产品和扩大再生产。

第六条在我国境内设立的软件企业可享受企业所得税优惠政策。新创办软件企业经认定后,自获利年度起,享受企业所得税“两免三减半”的优惠政策。

第七条对国家规划布局内的重点软件企业,当年未享受免税优惠的减按10%的税率征收企业所得税。国家规划布局内的重点软件企业名单由国家计委、信息产业部、外经贸部和国家税务总局共同确定。

第八条对软件企业进口所需的自用设备,以及按照合同随设备进口的技术(含软件)及配套件、备件,除列入《外商投资项目不予免税的进口商品目录》和《国内投资项目不予免税的进口商品目录》的商品外,均可免征关税和进口环节增值税。

第九条软件企业人员薪酬和培训费用可按实际发生额在企业所得税税前列支。

第四章产业技术政策

第十条支持开发重大共性软件和基础软件。国家科技经费重点支持具有基础性、战略性、前瞻性和重大关键共性软件技术的研究与开发,主要包括操作系统、大型数据库管理系统、网络平台、开发平台、信息安全、嵌入式系统、大型应用软件系统等基础软件和共性软件。属于国家支持的上述软件研究开发项目,应以企业为主,产学研结合、通过公开招标方式,择优选定项目承担者。

第十一条支持国内企业、科研院所、高等院校与外国企业联合设立研究与开发中心。

第五章出口政策

第十二条软件出口纳入中国进出口银行业务范围,并享受优惠利率的信贷支持;同时,国家出口信用保险机构应提供出口信用保险。

第十三条软件产品年出口额超过lO0万美元的软件企业,可享有软件自营出口权。

第十四条海关要为软件的生产开发业务提供便捷的服务。在国家扶持的软件园区内为承接国外客户软件设计与服务而建立研究开发中心时,对用于仿真用户环境的设备采取保税措施。

第十五条根据重点软件企业参与国际交往的实际需要,对企业高中级管理人员和高中级技术人员简化出入境审批手续,适当延长有效期。具体办法由外交部会同有关部门另行制定。

第十六条采取适应软件贸易特点的外汇管理办法。根据软件产品交易(含软件外包加工)的特点,对软件产品出口实行不同于其他产品的外贸、海关和外汇管理办法,以适应软件企业从事国际商务活动的需要。

第十七条鼓励软件出口型企业通过GB/T19000—IS09000系列质量保证体系认证和CMM(能力成熟度模型)认证。其认证费用通过中央外贸发展基金适当予以支持。

第六章收入分配政策

第十八条软件企业可依照国家有关法律法规,根据本企业经济效益和社会平均工资,自主决定企业工资总额工资水平。

第十九条建立软件企业科技人员收入分配激励机制,鼓励企业对作出突出贡献的科技人员给予重奖。

第二十条软件企业可允许技术专利和科技成果作价入股,并将该股份给予发明者和贡献者。由本企业形成的科技成果,可根据《中华人民共和国促进科技成果转化法》规定,将过去3至5年科技成果转化所形成的利润按规定的比例折股分配。群体或个人从企业外带入的专利技术和非专利技术,可直接在企业作价折股分配。

第二十一条在创业板上市的软件企业,如实行企业内部高级管理人员和技术骨干认股权的,应在招股说明书详细披露,并按创业板上市规划的要求向证券交易所提必要的说明材料。上述认股权在公开发行的股份中所占比例由公司董事会决定。

第七章人才吸引与培养政策

第二十二条国家教育部门要根据市场需求进一步扩大软件人才培养规模,并依托高等院校、科研院所建立一批人才培养基地。

(一)发挥国内教育资源的优势,在现有高等院校、中等科学校中扩大软件专业招生规模,多层次培养软件人才。前要尽快扩大硕士、博士、博士后等高级软件人才的培养模,鼓励有条件的高等院校设立软件学院;理工科院校的非计算机专业应设置软件应用课程,培养复合型人才。

(二)成人教育和业余教育(电大等)应设立或加强软件业教学,积极支持企业、科研院所和社会力量开展各种软件技术培训,加强在职员工的知识更新与再教育。在有条件的部门和地区,积极推行现代远程教育。在工程技术人员技术职称评定工作中,应逐步将软件和计算机应用知识纳入考核范围。

(三)由国家外国专家局和教育部共同设立专项基金,支持高层次软件科研人员出国进修,聘请外国软件专家来华讲学和工作。

第二十三条进入国家扶持的软件园区的软件系统分析员和系统工程师,凡具有中级以上技术职称,或有重大发明创造的,由本单位推荐并经有关部门考核合格,应准予本人和配偶及未成年子女在该软件园区所在地落户。

第二十四条实施全球化人才战略,吸引国内外软件技术人员在国内创办软件企业。国内高等院校、科研院所的科技人员创办软件企业,有关部门应给予一定的资金扶持,在人员流动方面也应放宽条件;国外留学生和外籍人员在国内创办软件企业的,享受国家对软件企业的各项优惠政策。

第八章采购政策

第二十五条国家投资的重大工程和重点应用系统,应优先由国内企业承担,在同等性能价格比条件下应优先用国产软件系统。编制工程预算时,应将软件与技术服务作为单独的预算项目,并确保经费到位。

第二十六条企事业单位所购软件,凡购置成本达到固定资产标准或构成无形资产的,可以按固定资产或无形资产进行核算,经税务部门批准,其折旧或摊销年限可以适当缩短,最短可为2年。

第二十七条政府机构购买的软件、涉及国家和经济安全的软件,应当采用政府采购的方式进行。

第九章软件企业认定制度

第二十八条软件企业的认定标准由信息产业部会同教育部、科技部、国家税务总局等有关部门制定。

第二十九条软件企业实行年审制度。年审不合格的企业,即取消其软件企业的资格,并不再享受有关优惠政策。

第三十条软件企业的认定和年审的组织工作由经上级信息产业主管部门授权的地(市)级以上软件行业协会或相关协会具体负责。软件企业的名单由行业协会初选,报经同级信息产业主管部门审核,并会签同级税务部门批准后正式公布。

第三十一条信息产业部、国家质量技术监督局负责拟定软件产品国家标准。

第十章知识产权保护

第三十二条国务院著作权行政管理部门要规范和加强软件著作权登记制度,鼓励软件著作权登记,并依据国家律对已经登记的软件予以重点保护。

第三十三条为了保护中外著作权人的合法权益,任何单位在其计算机系统中不得使用未经授权许可的软件产品。

第三十四条加大打击走私和盗版软件的力度,严厉查处组织制作、生产、销售盗版软件的话动。自2000年下半年起,公安部、信息产业部、国家工商局、国家知识产权局、国家版权局和国家税务总局要定期开展联合打击盗版软件的专项斗争。

第十一章行业组织和行业管理

第三十五条各级信息产业主管部门对软件产业实行业管理和监督。

第三十六条信息产业主管部门要充分发挥软件行业协会在市场调查、信息交流、咨询评估行业自律、知识产权保护、资质认定、政策研究等方面的作用,促进软件产业的健康发展。

第三十七条软件行业协会开展活动所需经费主要由协会成员共同承担,经主管部门申请,财政也可适当予以支持。

第三十八条软件行业协会必须按照公开、公正、公平的原则,履行其所承担的软件企业认定职能。

第三十九条将软件产品产值和出口额纳入国家有关统计范围,并在信息产业目录中单独列出。

第十二章集成电路产业政策

第四十条鼓励境内外企业在中国境内设立合资和独资的集成电路生产企业,凡符合条件的,有关部门应按程序紧审批。

第四十一条对增值税一般纳税人销售其自产的集成电路产品(含单晶硅片),*年前按17%的法定税率征收增值税,对实际税负超过6%的部分即征即退,由企业用于研究开发新的集成电路和扩大再生产。

第四十二条符合下列条件之一的集成电路生产企业,按鼓励外商对能源、交通投资的税收优惠政策执行。

(一)投资额超过80亿元人民币。

(二)集成电路线宽小于0.25um的。

第四十三条符合第四十二条规定的生产企业,海关应为其提供通关便利。具体办法由海关总署制定。

第四十四条符合第四十二条规定的生产企业进口自用生产原材料、消耗品,免征关税和进口环节增值税。由信息产业部会同国家计委、外经贸部、海关总署等有关部门负责,拟定集成电路免税商品目录,报经国务院批准后执行。

第四十五条为规避汇率风险,允许符合第四十二条规定的企业将准备用于在中国境内再投资的税后利润以外币方式存入专用帐户,由外汇管理部门监管。

第四十六条集成电路生产企业的生产性设备的折旧年限最短可为3年。

第四十七条集成电路生产企业引进集成电路技术和成套生产设备,单项进口的集成电路专用设备与仪器,按《外商投资产业指导目录》和《当前国家重点鼓励发展的产业、产品和技术目录》的有关规定办理,免征进口关税和进口环节增值税。

第四十八条境内集成电路设计企业设计的集成电路,如在境内确实无法生产,可在国外生产芯片,其加工合同包括规格、数量)经行业主管部门认定后,进口时按优惠暂定税率征收关税。

第四十九条集成电路企业的认定,由集成电路项目审批部门征求同级税务部门意见后确定。

第五十条集成电路设计产品视同软件产品,受知识产权方面的法律保护。国家鼓励对集成电路设计产品进行评测和登记。

第五十一条集成电路设计业视同软件产业,适用软件产业有关政策。

第十三章附则

集成电路范文6

《中国电子报—电子信息产业网》自6月初开始发表了《中国IC业十大“芯”结求解述评》系列文章,到7月底已连续发了7篇,罗列其题相继为:(1)技术鸿沟沟壑难平,(2)IC扶持政策有名无实,(3)IP壁垒难以逾越,(4)龙头企业难觅踪影,(5)新兴市场失之交臂,(6)产业生态难成气候,(7)整机与芯片联而不动,预告还有《模式变革屡失良机》、《进口替代难见起色》等,正如编者按指出的,组织编写这系列文章是为了:“中国梦是民族的梦,也是每个中国人的梦。”在中国IC人的心中,一直激荡着IC产业的强国梦。畅想中国梦,追逐产业理想,要有勇气,更要有智慧,要能准确把握规律,还要能娴熟驾驭现实。

粗粗略读一过,文章总结了我国IC产业的进步,摆出了存在的问题,提出了相应对策,实事求是,识见不凡,总归企望好梦成圆。笔者毕生混迹电子产业领域,终身期盼电子工业能在“电子化工业化两化并举”政策的指引下,电子工业真正成为中国国民经济中的主导产业和第一产业。集成电路和平板显示是电子工业的基础,如果将平板显示比作人的“脸面”的话,那IC则是人的“心脏”,发展至今,平板显示业后起先熟,市场逐渐退烧,已届低迷前进,风光难再的地步。而IC起步虽早,却依然在开拓前进,IC业尚有发展空间,不可等闲视之,更加拒绝等待。首先,世界IC业规模相对较大,目前市场在3000亿美元左右,而平板显示市场约为千亿美元,两者相差3倍之多,轻重未可倒置。摩尔定律走到尽头的呼声不绝于耳,走到头也是必然趋势,早晚总要来的,但晶圆尺寸仍将增大,尽管300mm晶圆问世已10有余年,450mm晶圆却姗姗来迟,同时,加工工艺微细化也一直没有停下脚步,近年将从28nm、20nm继续迈向14nm、10nm,7nm??何处是尽头? 有说1nm的,更有说0.1nm的,走着瞧吧!

IC的重要性犹如日月光华,伴我雄心,可说是一国经济成败荣衰的定盘星和胜负手。《金融时报》近期正连载《50 Ideas》系列文章,其中7月9日有篇《微型芯片》说:微型芯片又叫集成电路,自20世纪后半叶以来已成为科学技术进步的基石。自1958年问世后,芯片帮助推动了空间发射任务、企业现代化以及世界贸易革命。通过不断的微型化,芯片已把昔日需占有一个很大房间的电子管大型计算机的计算能力,凝缩到一手之握的智能手机中。1971年,英特尔生产的第一块微处理器集成了2250个晶体管;如今,它采用22nm工艺生产的芯片,在一个句号大小的面积里可容下600万个晶体管,42年增长了近2700倍。

微型芯片为商业带来的益处是实实在在和显而易见的。它们是个人电脑、平板电脑以及智能手机的引擎,而这些设备已成为企业雇员的主要工具。它们还是服务器的核心,而服务器则支撑着互联网、存储着我们的数据、执行着电子商务交易。日趋强大的计算能力使得企业更有可能完成各种任务,比如预测天气模式,发现能源,开发更有效的药物、分析其销售情况和顾客行为,在“大数据”中寻找线索,促进未来的成功。在半个世纪多一点的时间里,微型芯片已成为商业世界的核心。

彼以今日的观念,剖析了半个多世纪前入市的集成电路(IC),IC并未时过境迁而成明日黄花,而是与时俱进,历久弥新,技术迅速发展,应用日益广泛,重要性不断增强,“成为科学技术进步的基石”,“商业世界的核心”,今日人人工作时不可或缺的工具,生活的重要助手,信息存取的渊源,明天新科技开发的手段。它的重要性怎么强调也不致过分,我人岂可等闲视之?

中国IC起步不晚,美国1961年开始生产,日本1966年,中国1968年,韩国1977年,我们仅比日本晚2年,比韩国还早了9年。参考有关资料进行估计,到2011 年,美国半导体产值约540亿美元,韩国430亿美元,日本近400亿美元,分列世界前三位,中国仅约89亿美元,不显生机,经过40多年的发展,生产规模被拉大到5倍左右。又据2012年海关统计数据,我国IC进口金额为1920.6亿美元,同比增长12.8%,与当年我国原油的进口金额(2206.7亿美元)大体相当,归为最大进口产品之列。与此同时,中国家电、手机、电脑、汽车等整机企业所需的核心芯片80%以上不得不依赖进口。技术层面差距也很明显,国际先进工艺已经达到2xnm层级,正向着1xnm演进,而中国目前实现量产的工艺技术仍是40nm,相差至少2代。综上观察分析,事实无情地说明,我国IC产业与国际相比较是全方位地大大落后,不但没有跟上时代阔步向前的坚实步伐,而是在慢条斯理,不着不急地缓慢前行。

美国市调公司IC Insights 7月发表了一份250页的报告――《Mid-Year Update to the 2013 McClean Report》,专门讨论分析了中国IC的市场和生产,该报告指出,自2005年起中国已是世界最大的IC市场,但展望中国的IC生产既不能马上跟上市场,且恐将永续落后。如图1所示,2012年中国的IC生产计89亿美元,仅占当年市场810亿美元的11%,预期到2017年也就略略增长到14%多一点。

而且,中国IC的生产大多还掌握在外国公司之手,表1告诉我们中国10大IC生产公司中美国Intel和韩国Hynix分别占l、2位,合计产值占中国全部生产的53%,超过一半,预估今后几年内还将迅速成长。特别值得一提的还有三星公司,它将总投入70亿美元资金,在西安建设300mm生产线,采用10~19nm工艺生产NAND闪存,影响更为深远。而中国IC生产在世界IC市场上则很不起眼,2012年仅占3.5%,到2017年才5.6%,既便加上若干未入统计的代工收入,2017年至多也到不了10%,可见中国IC生产的尴尬处境,真正难以为情,情何以堪,它的生产规模与中国庞大的电子市场、IC的殷切需求极不相称。

可以说笔者是世界IC产业演绎几十年的旁观者,内外参照,抚今追昔,不禁感慨系之!我国IC下手不晚,惜乎认识有差,屡失良机,眼看着周边日、韩、中国台湾(地区)相继崛起,称雄世界,我国纵有一定进步,然发展迟缓,导致IC市场君临天下,而进口雄踞首位,生产不敷应用,与市场极不相称。展望未来,世界电子工业、IC产业依然将继续成长,前景乐观,我们真应即时扭转认识,立马奋发图强,加速跟进,跳跃发展,追梦中国集成电路,振兴中华庶可实现。

至于方针措施,愚以为在IC产业的发展上,关键是应将“产学研”政策让位于“官产学”,使国家政府(“官”)处于领导地位。“产学研”是指企业、科研院所和高等学校之间的合作,通常以企业为技术需求方,与以科研院所或高等学校为技术供给方之间的合作,用以促进技术创新,推动经济发展,已定为我国常策,也有一定实效,但对IC业则不可照搬。纵观国际IC产业发展之道,实际均采用“官产学”之策,政府主导,产学合作,美、日、韩、中国台湾概莫能外。美国虽无具体政策,但IC产品均先供军用,保证了产品的应用和资金的回报。日韩均有《电子工业振兴法》,日本政府对扶助IC业的政策十分明确,为追赶美国而争先开发VLSI,由政府牵头组织了富士通、NEC、东芝等5家大公司创建VLSI研究所,给予财务补助,同时对日本IC产业的发展也给予拨款、贷款及各种税收优待,终于在1979年率先生产出VLSI――64K DRAM,并以此为基础加速发展,到上世纪80年代,在世界IC市场一举超越美国,称霸业界。韩国是重走了日本之路,实施了我国晚清时期提出的“师夷之长技以制夷”的理念,韩国依样画葫芦,在政府主导下,朝野统一认清了IC的重要性,制定计划,大力投资,1984年直接跃入64K DRAM的生产,并于上世纪90年代首先开发出16M DRAM,达到了技术领先,从而日益侵掠特别是日本的原有市场,迫使日本IC业走向了下坡路,一蹶不振,Elpida倒闭出售,其他公司被迫不断重组,出现“恐韩”之症,而韩国IC生产则蒸蒸日上,跨越日本,三星更已成为世界DRAM和NAND闪存的第一大生产公司,敢于对抗苹果产品,在乔布斯西去之后,更有后来居上之势,成为业界的明星,学习的典范。台湾也以“政府”研究所的开发成果,让于公司生产,而以张忠谋为代表,首创IC代工新经营模式,领导世界。

我国今日更应把IC视为“Must”产业,它是“工业的石油”、“农业的米粮”,国民经济发展的基础,以日韩为师,实现国家意志,施行举国体制。事实上我国的“两弹一星”、航天事业都是在国家的领导下才取得了辉煌的成果,一些国营企业,诸如银行以及垄断性(“官办”)行业如水、电等业才都发展比较顺利,利润丰厚,IC业也必须“官办”才得克艰除难,迅速发展,勇敢赶超,跟上时代前进的步伐!一己之见,智浅才薄,是否正误,愿闻智者批评指正也。

集成电路范文7

关键词:集成电路设计企业;成本核算

中图分类号:F23 文献标识码:A

收录日期:2015年8月30日

一、前言

集成电路的整个产业链包括三大部分,即集成电路设计、生产制造和封装及测试。由于集成电路行业在我国起步晚,目前最尖端的集成电路企业几乎全被外资垄断,因此国家从改革开放以来,逐年加大集成电路产业的投入。近年来,我国的集成电路企业飞速发展,规模逐年扩大。根据中国半导体行业协会统计,2015年第一季度中国集成电路产业销售额为685.5亿元。其中,IC设计销售额为225.1亿元,生产制造业销售额为184.9亿元,封装测试销售额为275.5亿元。作为集成电路产业的IC设计得到国家的大力鼓励发展,以期望由IC设计带动整个中国的集成电路产业。我国的集成电路企业主要分布在长三角、珠三角、京津地区和西部的重庆、西安和武汉等。其中,长三角地区集中了全国约55%的集成电路制造企业、80%的集成电路封装测试企业和近50%的集成电路设计企业,该区域已经形成了包括集成电路的研发、设计、芯片制造、封装测试及其相关配套支撑等在内的完整产业链条。

集成电路行业是一个高投入、高产出和高风险的行业,动辄几十亿元甚至几百亿元的投入才能建成一条完整的生产线。国务院在2000年就开始下发文件鼓励软件和集成电路企业发展,从政策法规方面,鼓励资金、人才等资源向集成电路企业倾斜;2010年和2012年更是联合国家税务总局下发文件对集成电路企业进行税收优惠激励,2013年国家发改委等五部门联合下发了发改高技[2013]234号文,凡是符合认定的集成电路设计的企业均可以享受10%的所得税优惠政策。因此,对于这样一个高投入、高技术、高速发展的产业,国家又大力支持的产业,做好成本核算是非常必要的。长期以来,集成电路设计企业由于行业面较窄,又属于高投入、复杂程度不断提高的行业,成本核算一直没有一个明确的核算方法。

二、集成电路设计生产流程

集成电路设计企业是一个新型行业的研发设计企业,跟常规企业的工作流程有很大区别,如下图1。(图1)集成电路设计企业在收到客户的产品设计要求后,根据产品需求进行IC设计和绘图,设计过程中需要选择相应的晶圆材料,以便满足设计需求。设计完成后需要把设计图纸制造成光刻掩膜版作为芯片生产的母版,在IC生产环节,通过光刻掩膜版在晶圆上生产出所设计的芯片产品。生产完成后进入下一环节封装,由专业的封装企业对所生产的芯片进行封装,然后测试相关芯片产品的参数和性能是否达到设计要求,初步测试完成后,把芯片产品返回集成电路设计企业,由设计企业按照相关标准进行出厂前的测试和检验,最后合格的芯片将会发给客户。

对于集成电路设计企业来说,整个集成电路生产流程都需要全方位介入,每个环节都要跟踪,以便设计的产品能符合要求,一旦一个环节出了问题,例如合格率下降、封装不符合要求等,设计的芯片可能要全部报废,无法返工处理,这将会对集成电路设计企业带来很大损失。

三、成本核算方法比较

传统企业的成本核算方法一般有下面几种:

(一)品种法:核算产品成本的品种法是以产品的品种为成本计算对象,归集费用,计算产品成本的一种方法。品种法一般适用于大量大批单步骤生产类型的企业,如发电、采掘等企业。在这种类型的企业中,由于产品的工艺流程不能间断,没有必要也不可能划分生产步骤计算产品成本,只能以产品品种作为成本计算对象。

品种法除广泛应用于单步骤生产类型的企业外,对于大量大批多步骤生产类型的企业或者车间,如果其生产规模小,或者按流水线组织生产,或者从原材料投入到产品产出的全过程是集中封闭式的生产,管理上不要求按照生产步骤计算产品成本,也可以采用品种法计算成本,如小型水泥厂、砖瓦厂、化肥厂、铸造厂和小型造纸厂等。

按照产品品种计算成本,是产品成本计算最基础、最一般的要求。不论什么组织方式的制造企业,不论什么生产类型的产品,也不论成本管理要求如何,最终都必须按照产品品种计算出产品成本。因此,品种法是最基本的成本计算方法。

(二)分批法:分批法亦称订单法,它是以产品的批别(或订单)为计算对象归集费用并计算产品成本法的一种方法。分批法一般适用于单件小批生产类型的企业,如船舶、重型机械制造企业以及精密仪器、专用设备生产企业。对于新产品的试制,工业性修理作业和辅助生产的工具模具制造等,也可以采用分批法计算成本。在单件小批生产类型企业中,通常根据用户的订单组织产品生产,生产何种产品,每批产品的批量大小以及完工时间,均要根据需求单位加以确定。同时,也要考虑订单的具体情况,并结合企业的生产负荷程度合理组织产品的批次及批量。

(三)分步法:分布法是以产品的品种及其所经过的生产步骤作为成本计算对象,归集生产费用,计算各种产品成本及其各步骤成本的一种方法。分布法主要适用于大量大批复杂生产的企业,如纺织、冶金、造纸等大批量、多步骤生产类型的企业。例如,钢铁企业可分为炼铁、炼钢、轧钢等生产步骤。在这种企业里,其生产过程是由若干个在技术上可以间断的生产步骤组成的,每个生产步骤除了生产出半成品(最后步骤为产品)外,还有一些处于加工阶段的在产品。已经生产出来的半成品及可以用于下一生产步骤的再加工,也可以对外销售。

(四)作业成本法:作业成本法是一个以作业为基础的管理信息系统。它以作业为中心,作业的划分从产品设计开始,到物料供应;从工艺流程的各个环节、总装、质检到发运销售全过程,通过对作业及作业成本的确认计量,最终计算出相对准确的产品成本。同时,经过对所有与产品相关联作业的跟踪,消除不增值作业,优化作业链和价值链,增加需求者价值,提供有用信息,促进最大限度的节约,提高决策、计划、控制能力,以最终达到提高企业竞争力和获利能力,增加企业价值的目的。

由于集成电路设计企业的特殊生产工艺流程,集成电路设计企业的主要生产和封装、测试都是在第三方厂家进行,分批法、分步法和作业成本法都不太适合作为集成电路设计企业的成本核算方法,所以品种法将作为集成电路设计企业的基础成本核算方法。

四、IC产品的品种法

品种法作为一种传统的成本核算方法,在集成电路设计企业里是十分实用的。由于集成电路设计企业的生产流程比较特殊,产品从材料到生产、封装、测试,最后回到集成电路设计企业都是在第三方厂商进行,每一个环节的成本费用无法及时掌握,IC产品又有其特殊性,每种产品在生产过程中,不仅依赖于设计图纸,而且依赖于代工的工艺水平,每个批次的合格率并不尽相同,其成品率通常只有在该种产品的所有生产批次全部回到设计企业并通过质量的合格测试入库时才能准确得出,然而设计企业的产品并不是一次性全部生产出来,一般需要若干个批次,或许几十上百个批次加工,在最后几个批次返回设计企业时,早期的许多批次产品早已经发给客户使用了,因此集成电路设计企业的按品种进行成本核算应该是有一定预期的品种法,即需要提前预估该种产品的成品率或废品率,尽量准确核算每一个IC产品的成本。

五、结语

集成电路设计是个技术发展、技术更新非常迅速的行业,IC设计企业要在这个竞争非常激烈的行业站住脚跟或者有更好的发展,就必须紧密把握市场的变化趋势,不断的进行技术创新、改进技术或工艺,及时调整市场需求的产品设计方向,持续不断的通过科学合理的成本控制手段,从技术上和成本上建立竞争优势;同时,充分利用国家对于集成电路产业的优惠政策,特别是对集成电路设计企业的优惠政策,加大重大项目和新兴产业IC芯片应用的研发和投资力度;合理利用中国高等院校、科研院所在集成电路、电子信息领域的研究资源和技术,实现产学研相结合的发展思路,缩短项目的研发周期;通过各种途径加强企业的成本控制手段,来达到提高中国IC设计企业整体竞争实力,扩大市场份额。

主要参考文献:

[1]中国半导体行业协会.cn.

集成电路范文8

本报讯 10月25日,信息产业部软件与集成电路促进中心(CSIP)成立挂牌仪式在京举行。信息产业部娄勤俭副部长、国信办推广应用组赵小凡司长、国信办综合组徐愈司长等有关部委的领导及信息产业部有关部门的负责人出席了挂牌仪式。

娄勤俭副部长在讲话中指出,CSIP是为落实国家18号文件、进一步做大做强信息产业而成立的,目的就是要推动软件和集成电路产业的发展,保证起到公共服务支撑的作用。

目前,CSIP已具备先进的软硬件环境。围绕Linux操作系统、嵌入式软件、集成电路IP/SOC设计与验证等,先后与惠普、微软等著名跨国企业合作,建成业界领先的10个专业化国家实验室、6个技术中心,发起成立以企业为主体的3个产业联盟,建立了3个地方分中心和一套完善的遍及全国的教育培训网络。

此外,CSIP已初步形成专业高效的行业服务能力。在推进国家Linux标准和IP核标准建设的基础上,CSIP主导建设了国家Linux参考平台和国家IP核库。与此同时,在信息产业部的领导下,CSIP还在相关的领域内积极推进“中国芯”、“华夏擎”、“IPCHINA”、“CHINA SOURCING”、“U-CHINA”等部级的行业公共品牌。(魏洁)

中国计算机事业50周年庆典在京举行

本报讯 10月26日~27日,由中国计算机学会主办的主题为“计算机改善人类生活”的“中国计算机事业创建五十周年纪念大会暨2006中国计算机大会”在京举行。 自1956年新中国的《十二年科学发展规划》制定到今天,我国计算机事业已整整走过了50年的历程。

中国计算机学会名誉理事长、中国计算机事业开创者之一张效祥院士在报告中回顾了过去的艰辛和收获,同时也对未来我国计算机事业的发展提出了三个希望:希望计算机业界成为自主创新的典范; 希望计算机事业成为我国信息化事业的强大支柱; 希望我国各方力量要关注电子技术的发展。

为我国计算机事业发展壮大做出杰出贡献的代表人物、中国计算机学会理事长李国杰院士,对我国计算机事业发展的50年进行了综合回顾,同时发出了必须充分发挥政府的主导作用,在2020年以前真正成为计算机世界强国的号召。此外,中国工程院院士汪成为、中国科学院院士杨芙清也分别做了报告。

此次大会上,还揭晓并颁发了“2005~2006中国计算机学会王选奖”和“2006中国计算机学会海外杰出贡献奖”。(汤)

英特尔成都芯片封装测试厂二期竣工

本报讯 10月25日,英特尔公司宣布其成都芯片封装测试项目二期工程竣工,英特尔公司总裁兼首席执行官保罗・欧德宁出席竣工仪式并宣布该工厂一期工程生产的第1880万颗芯片组产品下线。

英特尔成都芯片封装测试工厂,二期项目于2005年8月开工,包括微处理器测试工厂以及一个培训中心,封装测试英特尔最先进的多核微处理器产品。“成都这座工厂将于明年正式投入运营。”欧德宁说。该工厂将生产英特尔最新基于65纳米制程技术的英特尔酷睿微架构多核处理器。另外,将于11月中旬推出的全球首款用于服务器和高端桌面的四核处理器也将在这里完成封装测试工作。该工程完成后,英特尔在成都市的总投资额达到5.25亿美元,成都工厂的员工将达到1300人。

又讯 10月24日,英特尔公司总裁兼首席执行官欧德宁开始本年度访华之旅,在北京中关村为参加酷睿2双核处理器评测、试用和知识问答的优胜者颁发了新的酷睿2处理器。(张弦)

简讯

手机电视行业标准正式颁布

本报讯 10月24日,国家广播电影电视总局正式颁布了自主研发的移动多媒体广播行业标准,也就是通常所说的手机电视标准。 作为标准核心部分、也是一直为业内关注最多、争议最大的传输技术已基本确定采用广电系统自主标准STiMi,而不是采用基于电信运营商无线移动通信网络的技术标准。 这次广电总局的标准为推荐性行业标准。(综)

信息产业部推手机汉字输入“中国标准”

本报讯 日前,信息产业部在北京召集中国移动、中国联通、多普达、摩托罗拉等移动通讯运营、终端制造等几十家信息家电领域相关企业,就国家标准《数字键盘汉字输入技术通用要求》(草案)征求意见,以期通过完善数字键盘汉字输入的相关标准,推进标准的产业化。新修订的标准对输入法的短句输入、无缝切换、汉字字量等都做了相应要求。(综)

科技部明年将试点风险投资引导基金

本报讯 科技部中国科技促进发展研究中心创投部部长房汉廷近日透露,科技部正在酝酿由政府主导的风险投资引导资金,并将于明年在国内进行试点,以加强政府在风险投资中的作用,同时规避风险。房汉廷表示,政府部门将以中小企业创新为基础,明年做首个试点,资金量约为1亿元。保险资金、银行资金都可以参与投资资金的设立。(综)

集成电路范文9

关键词:555集成电路;典型振荡电路;分析;改进

1.555集成电路的特点

555集成电路的一个显著特点就是能够将模拟功能和逻辑功能有效地结合在一起,从而形成一个集成化的电路。555集成电路是数字电路与模拟电路的有机结合,具有延缓时间、发出脉冲信号等功能。555电路具有线路简洁明了、功能完善、灵活性强、使用方便等多项优点,因此,555集成电路常被用于典型的多谐振荡电路中,以代替传统的连接式控制组件构成脉冲振荡电路。

除此之外,555集成电路还具有工作效率高、稳定性强、精度高等优点。由于555集成电路采用的电压范围为2~28伏,因此能够与其他数字电路进行有效的连接。555集成电路还有一定的输出功率,能够用于对微电机、指示灯、扬声器等多种电路的调节。

2.555集成电路的类型与选择

555集成电路主要有两种类型,分别是双核型、互补金属氧化物半导体型。其中,双核型电路的性能更强,因此使用频率较高。

555集成电路构成的基本电路通常有单稳态触发电路、双稳态触发电路、无稳态工作电路。无稳态电路的输出状态是在高低之间持续转换的,从而形成了一个矩形的脉冲图像。因此,从本质上来看,这是一种脉冲振荡器,因为它能输出矩形脉冲。从电路原理上来看,这个脉冲可以看作正弦波和一系列频率相同但幅度不相同的正弦波叠加而成的,它是不需要外部的脉冲来引发振荡而自动启动的,因此,它又称为自激振荡器。

3.用于实现理想方波振荡的改进电路

笔者在研究555集成电路构成的典型脉冲振荡电路时发现,当电路形成特定的方波时,对电路的其他要素要求较高,要想实现理想方波是有的难度的。可通过对电路的简单改进,使得电路的方波变得更加理想。

(1)间接反馈型无稳态电路。根据555集成电路的特点可以得出,其开放开关的电压与输出端的电压具有相同的大小和功能,因此,当内部的放电开关断开时,电路处于开路的状态,电路中产生一个高电平。当输出端的电压等于零,内部开关闭合时,接地端接地,电路中产生低电平。从上述原理中可以看出,用放电端的电压代替输出端的电压是完全可行的。但存在的一个问题是,电路中的能源可能会出现不足,这时就需要在电路中增加一个充点电阻,使原先的电路成为拥有两个反馈电阻的电路。通过上述改进,负载电流和充电电路就能完全独立,也就是说,电路的充放电电路不会再受到负载电流的影响。

此外,从电路性能的角度来看,双极性的555集成电路放电管比一般的电路要大,采用改进后的电路能够有效地提高电容的充放电性能,电路的运行也会更加稳定安全。因此,间接反馈式电路用于改进典型的555集成振荡电路是切实可行的。

(2)压控振荡器的构成。构成压控振荡器也是555集成电路的一种常见优化方法。压控振荡器的构成是通过在电路中接入电位器来改变电路中的振荡频率。当电位器的中心电压改变时,电容器的充电时间会发生较大改变,通常变化幅度在20倍左右,而电容器的放电时间变化幅度却很小,几乎可以说是没有变化。将两者的变化绘制成图像,可以看到两个时间点之间有一个交点,这一交点就是D50%。

为了进一步提高电路振荡频率的稳定性,可以使用晶体材料。此外,可变电容的使用也可以对电路的振荡频率进行精确的调整。用晶体对振荡频率进行控制,振荡频率就与晶体的频率一致,也就是谐波的频率。通过这一优化处理,电路的振荡频率稳定性得到了极大提高,实验的数据表明,振荡频率的稳定性大致可以提高30~40个百分比。

4.结语

555集成电路构成的典型振荡电路在通过完善和优化后,其性能得到了进一步的提高。随着集成电路技术的进一步发展以及相关制造工艺的完善,由555集成电路构成的典型振荡电路必将会得到更加广泛的应用,其功能也将得到进一步的完善。

参考文献:

集成电路范文10

关键词 集成电路设计 教学方法 教学探索

中图分类号:TN79 文献标识码:A 文章编号:1002-7661(2015)19-0006-02

1958年,美国德州仪器公司的基尔比发明了第一块集成电路,随着半导体工艺和集成电路设计技术的发展,集成电路的规模可以达上亿个晶体管。集成电路具有速度快、体积小、重量轻等优点,广泛应用于汽车、医疗设备、手机和其他消费电子,其2012年集成电路设计市场应用结构如图1所示。

自2006年以来,我国集成电路的产值为126亿美元,占全球产业总产值的5.1%,2013年我国集成电路的产值为405亿美元,占全球产业总产值的13.3%。2006年到2013年的年复合增长率达到18%,远超过全球集成电路产业整体增速。我国集成电路行业的产值如表1所示。

近年来,半导体集成电路产业在国家政策支持下发展迅速,因此对集成电路设计人才的需求剧增。为了满足社会日益发展的需要,国家在高校内大力推广集成电路设计相关的课程,并且取得了较好的效果,使人才缺口减小,但是还是不能满足国内对集成电路设计人才实际数量的需求。为了更好地加快集成电路设计人才的的培养,本文针对《数字集成电路原理》教学中存在的问题,并且根据教学的现状,探索出集成电路设计的教学改革。

一、数字集成电路设计原理教学中的现状

集成电路设计相对于以分立器件设计的传统的电子类专业而言,偏向于系统级的大规模集成电路设计,因此,微电子专业和集成电路设计专业的学生注重设计方法的形成,避免只懂理论、不懂设计的现象。即使学生掌握了设计的方法,能够进行一些小规模的集成电路设计,但是设计出来的产品不能用,不能满足用户的需求。这就成了数字集成电路设计原理面临的问题。

二、数字集成电路设计原理教学改善的方法

(1)针对上述的问题,在多年教学的基础上,在教学方法上进行改进,改变传统的以教师为中心,以课堂讲授为主的教学方式,采用项目化教学来解决数字集成电路设计中只懂理论、不懂设计的现状。注重数字集成电路设计原理与相关课程之间的内部联系,提高学生的学习兴趣,通过将一个项目拆分成几个小项目,使学生在项目中逐渐加深了对知识点理解,并且将课程的主要内容相互衔接与融合,形成完整的集成电路设计概念。学生分成5-8人一组,通过小组的方式加强了学生的相互合作能力,让学生更有责任感和成就感。学生应用相关的EDA软件来完成项目的设计,能够掌握硬件描述语言、综合应用等数字集成电路设计工具。

(2)通过PDCA戴明环的方式改善了集成电路设计的产品可用度不高的问题。在集成电路设计过程中,通过跟踪课内外学生设计中反应的问题,对项目难易度的进行调整,提高学生计划、分析、协作等多方面的能力。结合新的技术或者领域,对项目进行适当的调整。通过PDCA戴明环的方式来持续改进教学内容和方法,使其满足社会对数字集成电路设计人才的需求。PDCA戴明环如图2所示。

(3)开展校企合作的方式,进一步提高教学质量和学生的综合素质,促进企业和学校的共同发展。这种方式实现了学校与企业的优势互补,资源共享,培养出更加适合社会所需要的集成电路设计人才,也能够让学校和企业形成无缝对接。

三、小结

随着大规模集成电路设计的发展,更多的设计工具和设计方法出现,因此,使用最新的设计工具,合理设置《数字集成电路设计原理》的教学内容,可以提高学生的设计能力和培养学生的创新能力。通过对《数字集成电路设计原理》课程教学的探索,改变了以教师为中心的传统采理论课教学方式,充分发挥了学生的能动性和协作能力,使学生理论与实践都能够满足集成电路设计人才的要求。

参考文献:

[1]殷树娟,齐巨杰. 集成电路设计的本科教学现状及探索[J].中国电力教育,2012,(4):64-65.

[2]王铭斐,王民,杨放.集成电路设计类EDA技术教学改革的探讨[J].电脑知识与技术, 2012,8(9):4671-4672.

集成电路范文11

关键词:集成电路;电子元件;测量;故障;维修

中图分类号:F407文献标识码: A

引言

集成电路是将基本的逻辑门以及它们的组合可以完成某种逻辑操作的电路集成在一块基元的芯片或者电子电路。在电路中用字。IC。表示,即英文Integrated- circuit的缩写。在实际使用中,我们需要关心的是它的主要参数和引脚分析。参数是指电参数和使用时的极限参数,其中电参数包括典型工作电压下的静态工作电流、增益、最大输出功率。极限参数包括电源电压、功耗、工作环境温度和储存温度的极限值。

一、集成电路的检测

我们在检测前要了解集成电路及其相关电路的工作原理,熟悉所用集成电路的功能、内部电路、主要电气参数、各引脚的作用以及引脚的正常电压、波形与元件组成电路的工作原理。具体如下:

(一)、确定检修参数

检修集成电路前,除要了解集成块本身外部和内部结构、电气性能参数、各引出脚的功能和正常使用电压、波形等外,还应了解它与元器件组成电路的原理。知道信号从那个引脚输人到集成电路内部, 对于信号在集成电路内部的处理知道结果就可以了; 而输出是从那个引脚到外电路的, 修理时要人为的输人一个信号以检查输出正确与否,如是放大还是衰减。

(二)、集成电路引脚的识别

集成电路封装形式多种多样,引脚识别方法也不一样。因此,在使用集成电路前,必须认真查对识别集成电路的引脚,确认电源、地、输入、输出、控制等引脚号,以免因接错而损坏器件。

引脚排列的一般规律为:圆形集成电路,识别时,面向引脚正视,从定位销顺时针方向依次为1,2,3,4,…。圆形多用于模拟集成电路。扁平和双列直插型集成电路,识别时,将文字符号标记正放(一般集成电路上有一圆点或一缺口,将缺口或圆点置于左方),由顶部俯视,从左下脚起,按逆时针方向数,依次为1,2,3,4,…。扁平型多用于集成电路,双列直插型广泛应用于模拟和数字集成电路。

(三)、集成电路不在线直流电阻测量法

不在线直流电阻测量法是指集成电路没有装在印制电路板上或集成电路未与元件连接时,测量集成电路的各引脚对于地脚的正、反向电阻。具体测量方法是:首先,在集成电路手册上或技术资料中找到被测集成电路的型号,查到该集成电路各引脚对地接地脚的正、反向电阻的参考值;其次,用万用表R*1KΩ 档,一般不用R*1Ω 档测试,以防测试电流太大而损坏集成电路。测量前应欧姆校零,还要熟悉引脚的功能,正、反向电阻值。用万用表测量各脚与地之间的电阻值,并与正常值相比较,以判断不正常的部位。当然采用这种方法也必须事先知道正常时的电阻值。

(四)、要选用内阻较大的测试仪表

例如测集成电路引脚的直流电压时, 应用表头内阻大于20 KΩ/V周的万用表, 否则会产生较大的测量误差。要使功率集成电路散热良好,不允许在不带散热片的情况下,处于大功率工作状态。引线要合理, 如要加接元器件来代替其内部已经要损坏的电路,应选用小型元器件,以免造成不必要的寄生祸合。

(五)、测试时按照规范进行

在测试的时候不要因为测试人员的不慎造成引脚间短路,电压测量或用示波器探头测试波形时,表笔或探头不要由于滑动而造成集成电路引脚间短路,可以选用各个端子短接的外接板对等价引脚进行测量。因为瞬间大电流对器件的冲击会导致集成电路的损害。

(六)、在线直流电压测量法

这种方法是判断集成电路好坏的常用方法。它是用万用表的直流电压档,测出各引脚对地的直流电压值,然后与标注的参考电压进行比较,并结合其内部和电路进行比较,据此来判断集成电路的好坏。采用这种方法,必须事先了解正常时的各脚直流电压(在强信号和弱信号两种状态下的直流电压)。实际检查时,因为各脚电压的变化较小,因而有时会错过不正常的部位;或有几个管脚的电压同时改变,使得判断困难。为此最好能事先了解该集成块的内部电路图,至少要有内部方框图,了解各脚的电压是由外部供给的还是内部送出的。这样,会给判断带来很大的方便,比较容易判断出故障的原因是由集成块内部还是其元器件引起的。

二、集成电路的性能检测

为了保证数字系统长期稳定可靠地工作,精心检测所采用的数字集成电路器件是必不可少的步骤。这种检测包括对逻辑功能的检测和必要时对某些参数的检测。不仅在使用元器件前必须确切知道它的逻辑功能是否正常,而且在测试电路的过程中如果发现某些问题或故障时,还需要检测其逻辑功能。数字集成电路器件逻辑功能的检测分静态测量和动态测量两个步骤,应当遵循的原则是。 先静态,后动态。。

(一)、静态测试

静态测试的方法是:在规定的电源电压范围内,在输出端不接任何负载的情况下,将各输入端分别接入一定的电平。测量输入、输出端的高低电平是否符合规定值,并按真值表判断逻辑关系是否正确。静态测试可以用数字逻辑实验箱、逻辑电平笔、万用表等完成。

(二)、动态测试

动态测试的方法是:在输入端加入合适的脉冲信号,根据输入、输出波形分析逻辑关系是否正确。通常用示波器进行动态测试,观察其输入、输出波形与标准波形是否相同。

三、电路故障分析方法

电路故障分析对检查故障具有决定性指导作用,没有正确的电路故障分析过程和结果,就不会获得检修的成功,这里说明电路故障分析在检查过程中运用步骤和方法:

有了相对具体的电路部分后,通过电路图在这些电路中找出测试点,决定是检测电压还是检测电流或其它参数,根据所测数据进行故障分析,确定这一电路是否正常;检查故障分析过程中,首先遇到具体故障现象,根据故障现象先从整体上进行电路故障分析,即通过具体的故障现象定位电路出现故障的地方;有了上述分析结果,再回到电路中对所怀疑元器件进行针对性的检测和确定,最终结合电路图定性出现的问题;对于不正常的电路进行深层次分析,具体到元器件是否损坏、性能是否恶劣、有否开路或电路故障。

四、具体集成电路检测和故障分析

集成电路O CL 功放电路图如下图所示。检查分析如下:

检查这种电路时,将扬声器先与电路断开,以防检查过程中的操作不当损坏扬声器。注意:当测量输出引脚直流电压不为0V 时,还应该检查扬声器是否已经损坏。首先检查集成电路的输出引脚直流电压,正常时为0V ;若不为0V 再测量正、负电源引脚上的直流电压是否相等,不等时间差电源电路或电源引脚上的滤波电容;测量两个电源引脚上直流电压正常之后,测量集成电路的其他引脚的直流电压。如果测量输出引脚直流电压为0V ,还要测量正、负电源引脚上的直流电压是否有活是否正常。

五、集成电路使用的注意事项

集成电路使用时,电源电压要符合要求。TTL电路为+5V,CMOS电路为3~18V,电压要稳,滤波要好。集成电路使用时,要考虑系统的工作速度,工作速度较高时,宜用TTL电路(工作频率>1MHz);工作速度较低时,应用CMOS电路。集成电路使用时,不允许超过其规定的极限参数。集成电路插装时,要注意管脚序号,不能插错。CMOS集成电路多余的输入端绝对不能悬空,要根据逻辑关系进行处理。输出端不允许与电源或地短路,输出端不允许并联使用。集成电路焊接时,不得使用大于45W的电烙铁,连续焊接的时间不能超过10秒。

结束语

综上所述,我们即可准确地检测出集成电路的有关性能指标,正确地使用集成电路,使电路系统正常运行。

参考文献

[1] 高泽涵.电子电路故障诊断技术[M].西安:西安电子科技大学出版社,2000,11.

集成电路范文12

关键词:数字集成电路;设计;核心工艺

随着微电子技术的发展,数字集成电路获得了越来越广泛的应用。深入了解数字集成电路特性,正确分析数字集成电路在实验中出现的种种异常现象,对于提高数字电子技术使用效果、加深使用者对数字电路理论的理解有着十分重要的作用。而实现上述目的的最关键部分在于对数字集成电路的设计相关内容有着较为清晰的理解,本文正是在这种背景下,探讨了数字集成电路的不同设计方法以及所采用的核心工艺,以求为理论界与实践界更好的认识数字集成电路提供必要的借鉴与参考。

一、数字集成电路理论概述

数的表达是多种多样的,如二进位、八进制、十进位、十六进位等。电脑中数字处理是二进位,所以一切资料都要先转化为“0”和“1”的组合。在教学中要对学生强调这里的“0”和“1”不是传统数学中的数字,而是两种对立的状态的表达。数字集成电路是传输“0”和“1”(开和关)两种状态的门电路,可把来自一个输入端的信息分配给几个输出端,或把几个输入端传来的信息加以处理再传送出去,这个过程叫做逻辑运算处理,所以又叫逻辑集成电路。在数字集成电路中电晶体大多是工作在特性曲线的饱和状态和截止状态(逻辑的“0”和“1”)。数字集成电路又包括着如下三种电路:门电路,是作为不包含时间顺序的组合电路;触发器电路,其能存储任意的时间和信息,故在构成包含时间关系的顺序电路时必不可少,这种电路叫做时序逻辑电路,例如寄存器、管理器等。触发器电路是基本时序单元电路;半导体记忆体电路,它可以存取二进位数字字信息,记忆体的作用是用来记住电子电脑运算过程中所需要的一切原始资料、运算的指令程式以及中间的结果,根据机器运算的需要还能快速地提供出所需的资料和资料。在上课时,发现学生易将组合逻辑电路、时序逻辑电路混淆,所以教学中要反复强调两者的的特点,进行对比,使学生能正确区分两种电路。

二、数字集成电路的设计

第一,MOS场效应电晶体的设计。常用的是N沟MOS管,它是由两个相距很近、浓度很高的N十P结引线后做成的,分别叫做源极“S”和漏极“D”。在源极“S”和漏极“D”之间的矽片表面生长一薄层二氧化矽(SiO2),在SiO2上复盖生长一层金属铝叫栅极“G”(实际上“G”极是个MOS二极体)。NMOS集成电路是用得很多的一个品种。要注意一点是多晶矽栅代替了铝栅,可以达到自对淮(近乎垂直)掺杂,在栅下面的源、漏掺杂区具有极小横向的掺杂效应,使源、栅漏交迭电容最小,可以提高电路的速度。

第二,CMOS集成电路互补场效应电晶体的设计。CMO是指在同一矽片上使用了P沟道和N沟道两种MOS电路。这种反相器有其独特之处,不论在哪种逻辑状态,在VDD和地之间串联的两个管子中,总有一个处干非导通状态,所以稳态时的漏电流很小。只在开关过程中两个管子都处于导通状态时,才有显着的电流流过这个反相器电路。因此,平均功耗很小,在毫微瓦数量级,这种电路叫做CMOS电路。含有CMOS电路的集成电路就叫做CMOS集成电路,它是VLSI设计中广泛使用的基本单元。它占地面积很小、功耗又小,正是符合大规模集成电路的要求,因为当晶片的元件数增加时功耗成为主要的限制因素。CMOS集成电路成为低功耗、大规模中的一颗明星,它是VLSI设计中广泛使用的基本单元,但它的设计和工艺难度也相应地提高了许多。CMOS集成电路在P型衬底上先形式一个以待形成PMOS管用的N型区域叫做“N井”,在“N井”内制造PMOSFET的过程与前述的NMOS管相同,所以制造CMOS集成电路的工序基本上是制造NMOS集成电路的两倍。另外还要解决麻烦的门锁效应(Latch-up)。但它仍是高位数、高集成度、低功耗微处理器等晶片的首选方案。

第三,二极体的设计。集成电路中的二极体均由三极管的eb结或cb结构成,前者的正向压降低,几乎没有寄生效应,开关时间短;后者常在需要高击穿电压的场合中使用,技术上又不必单独制做,只是在晶体管制成后布线时按电路功能要求短路某二个电极,从留用的P-N二边引线出去和电路连接。课堂教学中,对二、三极管的特性及工作原理要做详细的复习,以便学生理解。

第四,电阻设计。集成电路中的电阻是在制造电晶体基区层的同时,向外延层中进行扩散制成。阻值取决于杂质浓度、基区的宽度和长度及扩散深度。当需要更大电容阻值时,采用沟道电阻;在需要更小电容阻值时,则采用发射区扩散时形成的N十区电阻。

这里电阻与学生之前学习的电阻进行比较,利于学生理解。

第五,电容设计。集成电路中的电容器有两种,一种是P-N结电容,它是利用三极管eb结在反向偏压下的结电容,电容量不是常数,它的大小与所加偏压有关,且有极性;另一种是MOS电容,电容值是固定,与偏压无关。一般用重掺的区域作为一个板极,中间的氧化物层作为介质层,氧化物层的顶层金属作为另一个板极。但是,集成电路设计中应尽量避免使用电容,数字电路一般都采用没有电容的电路。

三、数字集成电路的核心工艺

首先是薄圆晶片的制备技术。分别在半导体专用切片机、磨片机、拋光机上加工出厚度约为400um、表面光亮如镜、没有伤痕、没有缺陷的晶片。

其次是外延工艺技术。为了提高电晶体集电结的击穿电压,要求高电阻率材料。但为了提高电晶体工作速度,要求低电阻率材料,为此在低阻的衬底材料上外延生长一层高阻的单晶层,这叫做外延技术。

第三是隔离工艺技术。因为数字集成电路中各组件是做在同一半导体衬底片,各组件所处的电位也不同,要使做有源元件的小区域(电晶体)彼此相隔离开,这种实现彼此隔离的技术叫做隔离技术。正是由于它的出现,使分立元件发展到数字集成电路成为可能。现在常用的有介质隔离(将SiO2生长在需要隔离的部位)和P-N 结隔离两种方法。P-N结隔离是在隔离部位形成两个背对背的P-N结;外延结构P-N结隔离是在P 型衬底表面的n型外延层上进行氧化、光刻、扩散等工艺,并将硼杂质扩散到特定部分,直到扩穿外延层和P 型衬底相接。外加反向电压使外延n型层成为一个个相互隔离的小岛,然后再在这个n型外延小岛区域上分别制造电晶体或其他元件。

最后是氧化工艺技术。半导体器件性能与半导体表面有很大关系,所以必须对器件表面采用有效保护措施。二氧化矽被选作为保护钝化层,一来它易于选择腐蚀掉;二来可以在扩散之后在同炉内马上通氧进行氧化;三来可以作为选择掺杂的掩蔽物;再来它常被用来作导电层之间的绝缘层。当然用作钝化的介质还有氮化矽薄膜,这里不多介绍。各种薄膜不仅要执行其本身的预定功能,也要和后续的全部工艺相相容。即钝化薄膜要能承受所要求的化学处理及加热处理,而其结构还保持稳定。从上面工艺流程可以看到,每一步光刻之前都有氧化工序,图形加工只能在氧化层上进行。

设计是一项难度较大的工作,在设计中要考虑许多细节的东西,实践与理论之间有一定的差距,对于我们技术学校的学生而言,可以让他们做一些简单的设计,自己动手搭建电路并做测试,在做中发现问题,解决问题,从而加深对知识的理解。

(作者单位:福建省第二高级技工学校)

参考文献:

[1]桑红石,张志,袁雅婧,陈鹏.数字集成电路物理设计阶段的低功耗技术[J].微电子学与计算机,2011年第4期.