HI,欢迎来到学术之家,发表咨询:400-888-7501  订阅咨询:400-888-7502  股权代码  102064
0

用FPGA实现色空间R'G'B'到YCbCr的转换

作者:刘健; 吴玉广fpga色空间ycbcr转换方程vhdl三基色原理显示器

摘要:在视频设计中,通常要实现色空间R'G'B'到YCbCr的转换,该转换是对后级信号进行处理的基础.本文介绍一种R'G'B'色空间到YCbCr色空间转换的电路设计.首先,给出色空间的定义以及色空间R'G'B'到YCbCr的转换方程组.根据这些方程组,提出设计中的几个关键问题,并用硬件描述语言VHDL在FPGA器件上实现.

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

现代显示

《现代显示》是一本有较高学术价值的月刊,自创刊以来,选题新奇而不失报道广度,服务大众而不失理论高度,颇受业界和广大读者的关注和好评。

杂志详情