HI,欢迎来到学术之家,发表咨询:400-888-7501  订阅咨询:400-888-7502  股权代码  102064
0

用CPLD实现同步串口与异步串口的转换

作者:简思平; 周学才; 张基宏cpld复杂可编程逻辑器件异步串行接口同步串行接口数字信号处理器标准串dsp

摘要:常用串口通信标准种类繁多,系统设计者必须解决不同串行接口标准之间的转换问题。业界常用串口分为同步串口和异步串口。该文以常用DSP芯片的McBSP同步串口与UART异步串口之间的转换为例,探讨以CPLD来实现串行接口标准之间相互转换的低成本方案,并详细论述了该方案的软、硬件实现。该方案硬件连接简单,成本低廉,软件编程方便,可用于DSP与PC机间的串行通信等工程场合。

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

深圳信息职业技术学院学报

《深圳信息职业技术学院学报》(CN:44-1586/Z)是一本有较高学术价值的大型双月刊,自创刊以来,选题新奇而不失报道广度,服务大众而不失理论高度。颇受业界和广大读者的关注和好评。 《深圳信息职业技术学院学报》坚持四项基本原则,坚持“双为”和“双百”方针,倡导学术创新,主要刊发计算机软件、应用网络、电脑美术、通讯技术等信息技术类学科;会计电算化、电子金融等经济类学科;外语外贸、图书信息管理、现代教育技术等优质学术论文。

杂志详情