HI,欢迎来到学术之家,发表咨询:400-888-7501  订阅咨询:400-888-7502  股权代码  102064
0

基于PicoBlaze的计时系统设计

作者:孙雪 孟宪义 丁承君fpgapicoblaze串口通信数字时钟管理

摘要:本文基于FPGA的PicoBlaze嵌入式平台,开发了一种利用串口通信,可实时控制的计时器系统。分析了PicoBlaze和串15/的结构、原理,提出了计时器的设计方案。基用Virtex—IIPro系列FPGA开发板设计了相应软件。实验结果表明,该计时系统有较高的利用价值。

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

科技与创新

《科技与创新》(CN:14-1369/N)是一本有较高学术价值的大型半月刊,自创刊以来,选题新奇而不失报道广度,服务大众而不失理论高度。颇受业界和广大读者的关注和好评。

杂志详情