HI,欢迎来到学术之家,发表咨询:400-888-7501  订阅咨询:400-888-7502  股权代码  102064
0

基于FT245BM和FPGA的USB接口设计

作者:孙立辉; 刘院英; 和志强ft245bmfpga状态机

摘要:介绍了USB协议芯片FT245BM的工作原理,设计了FY245BM与FPGA的接口电路,给出了FPGA发送和接收数据帧状态机的Verilog语言的描述,并介绍了PC机软件的设计方法。该电路被成功地应用到光纤陀螺多路测试系统中,简化了电路设计.提高了测试效率。该设计具有很强的通用性。

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

科技与创新

《科技与创新》(CN:14-1369/N)是一本有较高学术价值的大型半月刊,自创刊以来,选题新奇而不失报道广度,服务大众而不失理论高度。颇受业界和广大读者的关注和好评。

杂志详情