HI,欢迎来到学术之家,发表咨询:400-888-7501  订阅咨询:400-888-7502  股权代码  102064
0

N位BCD-二进制转换电路VHDL设计

作者:廖超平vhdl移位

摘要:本文以四位十进制数为例,分析了N位BCD-二进制转换算法,并以此算法为根据,应用VHDL语言设计了一种实现N位BCD-二进制转换的电路。本设计的基本循环是将BCD码表示中各个数码往右边移动一位,每个十进制位的8421表示中权为1的位的数码都移到低1位十进制位的8421表示中重新组合成新的8421表示,而最低一位十进制位的8421表示中权为1的位的数码则移出8421表示成为二进制表示。

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

科技资讯

《科技资讯》(半月刊)创刊于2003年,由北京市科学技术研究院主管,北京国际科技服务中心;北京合作创新国际科技服务中心主办,CN刊号为:11-5042/N,自创刊以来,颇受业界和广大读者的关注和好评。 《科技资讯》主要刊登中外新技术、新材料、新设备、新工艺及融投资项目的新资讯,并刊发能反映中外新技术发展动态,新产品开发进展及概述各学科新发展方向的内容。杂志社现向各级政府机关、相关单位、学校等征集学术论文。

杂志详情