HI,欢迎来到学术之家,发表咨询:400-888-7501  订阅咨询:400-888-7502  股权代码  102064
0

基于DDS技术的LFM信号产生与FPGA实现

作者:谭德勇; 陆聪; 杨维明; 卫春芳dds技术lfm信号fpga实现

摘要:在分析线性调频(LFM)信号的时频特性和基于直接数字频率合成器(DDS)技术信号产生原理的基础上,选择ALTERA公司的Cyclone II系列的FPGA芯片EP2C70F896C6FPGA,采用ROM查找表技术,利用QuartusII系统提供的PLL锁相环IP核设计系统时钟,设计产生带宽B=10 MHz、时宽T=2.5μs的LFM信号;通过调用Modelsim仿真工具进行RTL仿真验证,FPGA电路仿真的结果与MATLAB仿真结果相符。

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

计算机测量与控制

《计算机测量与控制》(CN:11-4762/TP)是一本有较高学术价值的大型月刊,自创刊以来,选题新奇而不失报道广度,服务大众而不失理论高度。颇受业界和广大读者的关注和好评。

杂志详情