HI,欢迎来到学术之家,发表咨询:400-888-7501  订阅咨询:400-888-7502  股权代码  102064
0

GPIB接口的FPGA实现

作者:王术群; 师奕兵; 田书林gpib接口ieee488fpga实现寄存器读写verilog语言状态机fpga芯片电路设计协议

摘要:GPIB接口是测试仪器中常用的接口方式.通过将接口设计分解为同步状态机设计和寄存器读写电路设计,采用Verilog语言实现了满足IEEE488.1协议的IPCore设计.将此IPCore固化到FPGA芯片中即可实现GPIB各种接口功能.

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

电子设计应用

《电子设计应用》(CN:11-4916/TN)是一本有较高学术价值的月刊,自创刊以来,选题新奇而不失报道广度,服务大众而不失理论高度。颇受业界和广大读者的关注和好评。

杂志详情