HI,欢迎来到学术之家,发表咨询:400-888-7501  订阅咨询:400-888-7502  股权代码  102064
0

直接数字频率合成器DDS的优化设计

作者:蓝天; 张金林dds流水线累加器rom接口同步

摘要:在深入理解DDS基本原理的基础上,采用多级流水线控制技术对DDS的VHDL语言实现进行了优化,并进行了异步接口的同步化设计,给出了DDS系统的时序仿真结果及其在FPGA中的资源占有率。

注:因版权方要求,不能公开全文,如需全文,请咨询杂志社

电子技术应用

《电子技术应用》(CN:11-2305/TN)是一本有较高学术价值的大型月刊,自创刊以来,选题新奇而不失报道广度,服务大众而不失理论高度。颇受业界和广大读者的关注和好评。

杂志详情